fpga和单片机和fpga的区别 m

FPGA开发板
资深工程师分享学习fpga的一些经验(十五条fpga设计铁律)
从大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的。后来读研究生,工作陆陆续续也用过Quartus II、FoundaTIon、ISE、Libero,并且学习了verilogHDL语言,学习的过程中也慢慢体会到verilog的妙用,原来一小段语言就能完成复杂的原理图设计,而且语言的移植性可操作性比原理图设计强很多。
在学习一门技术之前我们往往从它的编程语言入手,比如学习单片机时,我们往往从汇编或者C语言入门。所以不少开始接触FPGA的开发人员,往往是从VHDL或者Verilog开始入手学习的。但我个人认为,若能先结合《数字电路基础》系统学习各种74系列逻辑电路,深刻理解逻辑功能,对于学习HDL语言大有裨益,往往会起到事半功倍的效果。
当然,任何编程语言的学习都不是一朝一夕的事,经验技巧的积累都是在点滴中完成,FPGA设计也无例外。下面就以我的切身体会,谈谈FPGA设计的经验技巧。
我们先谈一下FPGA基本知识:
1.硬件设计基本原则
FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
速度与面积平衡和互换原则:
一个设计如果时序余量较大,所能跑的频率远高于设计要求,能可以通过模块复用来减少整个设计消耗的芯片面积,这就是用速度优势换面积的节约;
反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么可以通过数据流串并转换,并行复制多个操作模块,对整个设计采用&乒乓操作&和&串并转换&的思想进行处理,在芯片输出模块处再对数据进行&并串转换&。从而实现了用面积复制换取速度的提高。
硬件原则:理解HDL本质。
系统原则:整体把握。
同步设计原则:设计时序稳定的基本原则。
2.Verilog作为一种HDL语言,对系统行为的建模方式是分层次的
比较重要的层次有系统级、算法级、寄存器传输级、逻辑级、门级、电路开关级。
3.实际工作中,除了描述仿真测试激励时使用for循环语句外,极少在RTL级编码中使用for循环
这是因为for循环会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,不能有效的复用硬件逻辑资源,造成巨大的浪费。一般常用case语句代替。
4. if&else&和case在嵌套描述时是有很大区别的
if&else&是有优先级的,一般来说,第一个if的优先级最高,最后一个else的优先级最低。而case语句是平行语句,它是没有优先级的,而建立优先级结构需要耗费大量的逻辑资源,所以能用case的地方就不要用if&else&语句。
补充:1.也可以用if&; if&; if&;描述不带优先级的&平行&语句。
5.FPGA一般触发器资源比较丰富,而CPLD组合逻辑资源更丰富
6.FPGA和CPLD的组成
FPGA基本有可编程I/O单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等6部分组成。
CPLD的结构相对比较简单,主要由可编程I/O单元、基本逻辑单元、布线池和其他辅助功能模块组成。
7.Block RAM
3种块RAM结构,M512 RAM(512bit)、M4K RAM(4Kbit)、M-RAM(64Kbit)。
M512 RAM:适合做一些小的Buffer、FIFO、DPRAM、SPRAM、ROM等;
M4K RAM: 适用于一般的需求;
M-RAM: 适合做大块数据的缓冲区。
Xlinx 和 LatTIce FPGA的LUT可以灵活配置成小的RAM、ROM、FIFO等存储结构,这种技术被称为分布式RAM。
补充:但是在一般的设计中,不提倡用FPGA/CPLD的片内资源配置成大量的存储器,这是处于成本的考虑。所以尽量采用外接存储器。
8.善用芯片内部的PLL或DLL资源完成时钟的分频、倍频率、移相等操作
不仅简化了设计,并且能有效地提高系统的精度和工作稳定性。
9.异步电路和同步时序电路的区别
异步电路:
电路核心逻辑有用组合电路实现;
异步时序电路的最大缺点是容易产生毛刺;
不利于器件移植;
不利于静态时序分析(STA)、验证设计时序性能。
同步时序电路:
电路核心逻辑是用各种触发器实现;
电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的;
同步时序电路可以很好的避免毛刺;
利于器件移植;
利于静态时序分析(STA)、验证设计时序性能。
10.同步设计中,稳定可靠的数据采样必须遵从以下两个基本原则:
(1)在有效时钟沿到达前,数据输入至少已经稳定了采样寄存器的Setup时间之久,这条原则简称满足Setup时间原则;
(2)在有效时钟沿到达后,数据输入至少还将稳定保持采样寄存器的Hold时钟之久,这条原则简称满足Hold时间原则。
11.同步时序设计注意事项
异步时钟域的数据转换。
组合逻辑电路的设计方法。
同步时序电路的时钟设计。
同步时序电路的延迟。同步时序电路的延迟最常用的设计方法是用分频或者倍频的时钟或者同步计数器完成所需的延迟,对比较大的和特殊定时要求的延时,一般用高速时钟产生一个计数器,根据计数产生延迟;对于比较小的延迟,可以用D触发器打一下,这样不仅可以使信号延时了一个时钟周期,而且完成了信号与时钟的初次同步。在输入信号采样和增加时序约束余量中使用。
另外,还有用行为级方法描述延迟,如&#5 a《=4&0101;&这种常用于仿真测试激励,但是在电路综合时会被忽略,并不能起到延迟作用。
Verilog 定义的reg型,不一定综合成寄存器。在Verilog代码中最常用的两种数据类型是wire和reg型,一般来说,wire型指定的数据和网线通过组合逻辑实现,而reg型指定的数据不一定就是用寄存器实现。
12.常用设计思想与技巧
(1)乒乓操作;
(2)串并转换;
(3)流水线操作;
(4)异步时钟域数据同步。是指如何在两个时钟不同步的数据域之间可靠地进行数据交换的问题。数据时钟域不同步主要有两种情况:
①两个域的时钟频率相同,但是相差不固定,或者相差固定但是不可测,简称为同频异相问题。
②两个时钟频率根本不同,简称异频问题。
两种不推荐的异步时钟域操作方法:一种是通过增加Buffer或者其他门延时来调整采样;另一种是盲目使用时钟正负沿调整数据采样。
13.模块划分基本原则
(1)对每个同步时序设计的子模块的输出使用寄存器(用寄存器分割同步时序模块原则)。
(2)将相关逻辑和可以复用的逻辑划分在同一模块内(呼应系统原则)。
(3)将不同优化目标的逻辑分开。
(4)将送约束的逻辑归到同一模块。
(5)将存储逻辑独立划分成模块。
(6)合适的模块规模。
(7)顶层模块最好不进行逻辑设计。
14.组合逻辑的注意事项
(1)避免组合逻辑反馈环路(容易毛刺、振荡、时序违规等)。
解决:A.牢记任何反馈回路必须包含寄存器;B.检查综合、实现报告的warning信息,发现反馈回路(combinaTIonal loops)后进行相应修改。
(2)替换延迟链。
解决:用倍频、分频或者同步计数器完成。
(3)替换异步脉冲产生单元(毛刺生成器)。
解决:用同步时序设计脉冲电路。
(4)慎用锁存器。
解决方式:
A、使用完备的if&else语句;
B、检查设计中是否含有组合逻辑反馈环路;
C、对每个输入条件,设计输出操作,对case语句设置default 操作。特别是在状态机设计中,最好有一个default的状态转移,而且每个状态最好也有一个default的操作。
D、如果使用case语句时,特别是在设计状态机时,尽量附加综合约束属性,综合为完全条件case语句。
小技巧:仔细检查综合器的综合报告,目前大多数的综合器对所综合出的latch都会报&warning&,通过综合报告可以较为方便地找出无意中生成的latch。
15.时钟设计的注意事项
同步时序电路推荐的时钟设计方法:时钟经全局时钟输入引脚输入,通过FPGA内部专用的PLL或DLL进行分频/倍频、移相等调整与运算,然后经FPGA内部全局时钟布线资源驱动到达芯片内所有寄存器和其他模块的时钟输入端。
FPGA设计者的5项基本功:仿真、综合、时序分析、调试、验证。
对于FPGA设计者来说,练好这5项基本功,与用好相应的EDA工具是同一过程,对应关系如下:
1. 仿真:Modelsim, Quartus II(Simulator Tool)
2. 综合:Quartus II (Compiler Tool, RTL Viewer, Technology Map Viewer, Chip Planner)
3. 时序:Quartus II (TImeQuest Timing Analyzer, Technology Map Viewer, Chip Planner)
4. 调试:Quartus II (SignalTap II Logic Analyzer, Virtual JTAG, Assignment Editor)
5. 验证:Modelsim, Quartus II(Test Bench Template Writer)
掌握HDL语言虽然不是FPGA设计的全部,但是HDL语言对FPGA设计的影响贯穿于整个FPGA设计流程中,与FPGA设计的5项基本功是相辅相成的。
对于FPGA设计者来说,用好&HDL语言的可综合子集&可以完成FPGA设计50%的工作&&设计编码。
练好仿真、综合、时序分析这3项基本功,对于学习&HDL语言的可综合子集&有如下帮助:
通过仿真,可以观察HDL语言在FPGA中的逻辑行为。
通过综合,可以观察HDL语言在FPGA中的物理实现形式。
通过时序分析,可以分析HDL语言在FPGA中的物理实现特性。
对于FPGA设计者来说,用好&HDL语言的验证子集&,可以完成FPGA设计另外50%的工作&&调试验证。
1. 搭建验证环境,通过仿真的手段可以检验FPGA设计的正确性。
2. 全面的仿真验证可以减少FPGA硬件调试的工作量。
3. 把硬件调试与仿真验证方法结合起来,用调试解决仿真未验证的问题,用仿真保证已经解决的问题不在调试中再现,可以建立一个回归验证流程,有助于FPGA设计项目的维护。
FPGA 设计者的这5项基本功不是孤立的,必须结合使用,才能完成一个完整的FPGA设计流程。反过来说,通过完成一个完整的设计流程,才能最有效地练习这5项基本功。对这5项基本功有了初步认识,就可以逐个深入学习一些,然后把学到的知识再次用于完整的设计流程。如此反复,就可以逐步提高设计水平。采用这样的循序渐进、螺旋式上升的方法,只要通过培训入了门,就可以自学自练,自我提高。
市面上出售的有关FPGA设计的书籍为了保证结构的完整性,对 FPGA设计的每一个方面分开介绍,每一方面虽然深入,但是由于缺少其他相关方面的支持,读者很难付诸实践,只有通读完全书才能对FPGA设计获得一个整体的认识。这样的书籍,作为工程培训指导书不行,可以作为某一个方面进阶的参考书。
对于新入职的员工来说,他们往往对FPGA的整体设计流程有了初步认识,5项基本功的某几个方面可能很扎实。但是由于某个或某几个方面能力的欠缺,限制了他们独自完成整个设计流程的能力。入职培训的目的就是帮助他们掌握整体设计流程,培养自我获取信息的能力,通过几个设计流程来回的训练,形成自我促进、自我发展的良性循环。在这一过程中,随着对工作涉及的知识的广度和深度的认识逐步清晰,新员工的自信心也会逐步增强,对个人的发展方向也会逐步明确,才能积极主动地参与到工程项目中来。
最后总结几点:
1)看代码,建模型
只有在脑海中建立了一个个逻辑模型,理解FPGA内部逻辑结构实现的基础,才能明白为什么写Verilog和写C整体思路是不一样的,才能理解顺序执行语言和并行执行语言的设计方法上的差异。在看到一段简单程序的时候应该想到是什么样的功能电路。
2)用数学思维来简化设计逻辑
学习FPGA不仅逻辑思维很重要,好的数学思维也能让你的设计化繁为简,所以啊,那些看见高数就头疼的童鞋需要重视一下这门课哦。举个简单的例子,比如有两个32bit的数据X[31:0]与Y[31:0]相乘。当然,无论Altera还是Xilinx都有现成的乘法器IP核可以调用,这也是最简单的方法,但是两个32bit的乘法器将耗费大量的资源。那么有没有节省资源,又不太复杂的方式来实现呢?我们可以稍做修改:
将X[31:0]拆成两部分X1[15:0]和X2[15:0],令X1[15:0]=X[31:16],X2[15:0]=X[15:0],则X1左移16位后与X2相加可以得到X;同样将Y[31:0]拆成两部分Y1[15:0]和Y2[15:0],令 Y1[15:0]=Y[31:16],Y2[15:0]=Y[15:0],则Y1左移16位后与Y2相加可以得到Y;则X与Y的相乘可以转化为X1和X2 分别与Y1和Y2相乘,这样一个32bit*32bit的乘法运算转换成了四个16bit*16bit的乘法运算和三个32bit的加法运算。转换后的占用资源将会减少很多,有兴趣的童鞋,不妨综合一下看看,看看两者差多少。
3)时钟与触发器的关系
&时钟是时序电路的控制者& 这句话太经典了,可以说是FPGA设计的圣言。FPGA的设计主要是以时序电路为主,因为组合逻辑电路再怎么复杂也变不出太多花样,理解起来也不没太多困难。但是时序电路就不同了,它的所有动作都是在时钟一拍一拍的节奏下转变触发,可以说时钟就是整个电路的控制者,控制不好,电路功能就会混乱。
打个比方,时钟就相当于人体的心脏,它每一次的跳动就是触发一个 CLK,向身体的各个器官供血,维持着机体的正常运作,每一个器官体统正常工作少不了组织细胞的构成,那么触发器就可以比作基本单元组织细胞。时序逻辑电路的时钟是控制时序逻辑电路状态转换的&发动机&,没有它时序逻辑电路就不能正常工作,因为时序逻辑电路主要是利用触发器存储电路的状态,而触发器状态变换需要时钟的上升或下降沿!由此可见时钟在时序电路中的核心作用!
最后简单说一下体会吧,归结起来就多实践、多思考、多问。实践出真知,看 100遍别人的方案不如自己去实践一下。实践的动力一方面来自兴趣,一方面来自压力,我个人觉得后者更重要。有需求会容易形成压力,也就是说最好能在实际的项目开发中锻炼,而不是为了学习而学习。
在实践的过程中要多思考,多想想问题出现的原因,问题解决后要多问几个为什么,这也是经验积累的过程,如果有写项目日志的习惯更好,把问题及原因、解决的办法都写进去。最后还要多问,遇到问题思索后还得不到解决就要问了,毕竟个人的力量是有限的,问同学同事、问搜索引擎、问网友都可以,一篇文章、朋友们的点拨都可能帮助自己快速解决问题。
原文标题:第一次接触FPGA至今,总结的宝贵经验~
文章出处:【微信号:edn-china,微信公众号:EDN电子技术设计】欢迎添加关注!文章转载请注明出处。
关注电子发烧友微信
有趣有料的资讯及技术干货
下载发烧友APP
打造属于您的人脉电子圈
关注发烧友课堂
锁定最新课程活动及技术直播
发布评论请先
动态测试因测试实施过程中被测系统处于运行状态,能够较为准确地反映系统实际运行时的行为,因此在测试技术....
FPGA作为一种高新技术,由于其结构的特殊性,可以重复编程,开发周期较短,越来越受到电子爱好者的青睐....
莱迪思半导体公司推出全新的FPGA设计软件——Lattice Radiant,适用于需要开发低功耗嵌....
基于实时物体移动的静态图像背景中移动目标检测是计算机视觉领域的研究热点,在安防、监控、智能交通、机器....
很多初学者觉得学FPGA很难,需要很多的基础,得懂VERILOG设计、会画原理图,会画PCB,还有各....
模块的模板包括了输入输出信号列表、信号定义,组合逻辑和时序逻辑等,这是一个模块常用的组件。学员只需要....
许多应用处理器均需要现场可编程门阵列(FPGA)、专用集成电路(ASIC)和其它大功率中央处理器(C....
模块划分,顾名思义是指模块的划分。但是,明德扬至简设计法提出的模块划分,是广义的“模块划分”。后续所....
与传统计时工具如钟表日历等相比,数字万年历具备精确度高、成本低廉、运行稳定、功能多样等众多优点,因此....
本工程包括矩阵键盘和数码管显示模块,共同实现一个带有闹钟功能、可以设置时间的数字时钟。具体功能如下:....
本工程包含了两个按键和4位数码管显示,共同实现一个篮球24秒倒计时、并具有暂停和重新计数复位的功能。....
VHDL和verilog各有优点,选择一个,建议选择verilog。熟练使用设计软件,知道怎样编译、....
随着科技的发展,技术提高产品性能要求越来越高,近几年可编程的门阵列(FPGA)技术发展迅速,其高度的....
当电源定序不当时,就有可能发生闭锁失灵或电流消耗过大的现象。如果两个电源加到芯核接口和I/O接口上的....
本文首先介绍了fpga的优势及特点,其次介绍了fpga的应用领域,最后阐述了fpga应用的三个主要方....
本文首先介绍了fpga的工作原理及特点,其次介绍了单片机的工作原理及特点,最后阐述了fpga和单片机....
本文主要介绍的是FPGA的片上资源使用情况,分别是从组合逻辑及时序逻辑来详细的分析。
在本文中,利用自编码神经网络的编码器对输入数据进行编码,所得到隐含层的输出数据称为原始数据的编....
ARM,DSP,FPGA,CPLD,SOPC,SOC之间有什么区别和联系 arm是一种嵌入式芯片,比....
当前工业工厂自动化需要机器视觉来快速准确检测检查生产线,确保高质量控制。这些稳定可靠的系统需要从简单....
在嵌入式系统研发领域,随着产品AI化升级进程,原先设计常规数字系统的FPGA硬件工程师和系统软件设计....
Lattice公司的ECP5-5G系列是低成本低功耗小尺寸的FPGA系列产品,提供高性能特性如增强的....
十年前,嵌入式视觉技术主要用于比较少见、高度专业化的应用。今天,设计工程师们在越来越多新兴的工业、汽....
本次大赛将以一个互联网应用(如CTR)为切入点,比赛协办方将提供资源(包括 AI 加速器)和数据集,....
基于数字特征的识别算法其核心是通过对数字的形状以及结构等几何特征进行分析与统计,通过对数字特征的识别....
研究实时金融指数行情数据的高速分发及应用接口技术,采用高速传输总线结构实现实时金融指数行情数据的提取....
英特尔宣布原始设备制造商 (OEM) 在其服务器系列中采用了英特尔现场可编程门阵列 (FPGA) 加....
中国每年对于FPGA设计人才的需求缺口巨大,FPGA设计人才的薪水也是行业内最高的。目前,美国已有F....
首先需要分析功能需求,然后在平衡资源与速度后,估计速度需求。同样也可以根据之前的设计来确定,根据FP....
SERDES恢复出的数据进入FPGA有一个解复用和时钟域转换的问题,Stratix GX包含了专用电....
随着实施基于云的服务和机器到机器通信所产生的数据呈指数级增长,数据中心面临重重挑战....
FPGA并不是万能的。相对于串行结构处理器,其设计的灵活性是以工作量的增加为代价的。FPGA与ARM....
基于FPGA的比特平面分层 基于单核Zynq 7Z007S的MiniZed(TM) 开发套件 Xilinx....
英特尔通过聘请业内最知名的AMD前GPU架构师Raja Koduri负责研发其独立GPU产品。
Intel公司的Cyclone 10 LP FPGA系列是低成本和低静态功耗的器件,提供高密度可编门....
 致力于在功耗、安全、可靠性和性能方面提供差异化的领先半导体技术方案供应商美高森美公司(Micros....
ZCU104 评估套件可帮助设计人员为监控、高级驾驶员辅助系统 (ADAS)、机器视觉、增强实境 (....
CPU和GPU都属于冯·诺依曼结构,指令译码执行,共享内存。FPGA之所以比CPU、GPU更快,本质....
2018 年 3 月 16 日,赛灵思又面向中国市场专门举行了一场信任 CEO 见面会,会上,已经在....
系统设计有时十分复杂,需要充分了解许多不同的元件,如果解决方案的各环节可以进行原型制作并快速演示,就....
本文将介绍一种基于现场可编程门阵列 (FPGA) 核心的实施体现了先进的现代航空电子设计方法。这项技....
FPGA 对绝大多数的人来说相对有些陌生。经常有朋友问我,你们成天搞的这个 FPGA 到底是什么东西....
今日发布“2011中国电子工程师生活与工作状况调查”结果。本次调查从日开始,至5月....
在简单电路中,当频率较低时,数字信号的边沿时间可以忽略时,无需考虑时序约束。但在复杂电路中,为了减少....
时钟是数字电路中所有信号的参考,特别是在FPGA中,时钟是时序电路的动力,是血液,是核心。
FPGA相比于CPU,最大的优点在于速度,简单来讲,FPGA是靠控制每个时钟(Cycle)来驱动信号....
FPGA中RAM的使用探索。以4bitX4为例,数据位宽为4,深度为4。
在这个以数据为中心的世界,用户对搜索引擎提出了比以往更高的要求。先进的英特尔技术可帮助 必应 利用强....
高云半导体 科技股份有限公司今日宣布签约ELDIS科技有限公司为以色列授权代理商。此举标志着高云半....
美国为何在许多自己的强项上制裁中国,中国又为何不对进口额超过石油的集成电路产品征收高关税呢?
供应链服务
版权所有 (C) 深圳华强聚丰电子科技有限公司
电信与信息服务业务经营许可证:粤B2-Altera单片机和51单片机的区别联系_百度知道
Altera单片机和51单片机的区别联系
Altera公司产不产单片机??和51单片机的区别是什么??详细答案~~~回答好继续加分~~~~
Altera公司简介自二十年前发明世界上第一个可编程逻辑器件开始,Altera公司(NASDAQ:ALTR)秉承了创新的传统,是世界上“可编程芯片系统”(SOPC)解决方案倡导者。Altera结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。我们新产品系列将可编程逻辑的内在优势——灵活性、产品及时面市——和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。 总部位于硅谷圣侯塞。---------------------------------------------------------------FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 目前FPGA的品种很多,有XILINX公司的Virtex系列、TI公司的TPC系列、ALTERA公司的Stratix系列等。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 -------------------------------------------------------- 单片机定义
单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 51单片机是以51系列单片机为内核的单片机的泛称。例如:AT89C51、AT89C51、AT89S51、STC89C51。。。。。。---------------------------------------------------------------两者之间可以说没什么联系,但某些方面也有联系。是属于不同的应用范畴,但好多方面的实现功能也差不多。以前在学校上EDA课程的时候,很多实验都可以也可以用单片机完成。很多应用方面的重点不一样,FPGA功能更强,可以集成很多模块,应用起来很方便,不用单片机做很多电路等等。---------------------------------------------------------------具体的FPGA产品我没做过,只是在实验室玩过,你可以看看两着的产品应该会明白的。
采纳率:44%
Altera好像专门做FPGA的吧
为您推荐:
其他类似问题
您可能关注的内容
51单片机的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。ARM、DSP、FPGA的特点和区别_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
ARM、DSP、FPGA的特点和区别
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩3页未读,
定制HR最喜欢的简历
你可能喜欢21ic官方微信-->
第一次接触FPGA感触(持续更新中)
资深技术员, 积分 374, 距离下一级还需 126 积分
资深技术员, 积分 374, 距离下一级还需 126 积分
资深技术员, 积分 374, 距离下一级还需 126 积分
资深技术员, 积分 374, 距离下一级还需 126 积分
自己是学习了51系列的单片机一年以后开始的接触FPGA 刚开始的时候老师跟我们说,学习了51单片机对你们学习FPGA有很大的帮助,不知不觉学了一年多的单片机,一天老师跟我说,可以进入项目组了,我们的项目组做的是用FPGA做的图像增强,刚开始的时候连FPGA是个什么东东都不知道,只是知道引脚是51的多少倍,比51多么得复杂,应该不太好学吧 FPGA是field program gate array 一个什么可编程门阵列的东西,说的再通俗点,51是你通过控制引脚的高低电平来达到你的需要,而FPGA是根据你自己的需要,你要自己设置FPGA,它里面就是各种各样的门级电路,他会根据你自己的硬件语言来来按照你自己的要求变成你想要的功能的一个元器件,这里你要注意了“是变成你需要的元器件”,而不是在通过控制他的IO口的点评的变化。学习FPGA面对的第一个难题就是语言问题,他们说学了C语言,学VHDL/VERILOG(硬件描述语言)都很快,我感觉这个说的不对,VHDL比较生涩,不太好掌握,所以我学习了后者,Verilog虽然有的语句跟c相通,但是差别甚大,如果你按照学c的方式学他,很可能会吃大亏,明明是那个意思,可是形成的原件却不是你自己想要的。虽说边学边看FPGA小半年了,但是感觉自己还像个门外汉,实验室的FPGA开发板自己基本上模块都走了一遍了,可惜后来那个开发板坏了。小伤心了一下。本人会持续连载,下次将会分享自己第一次写FPGA跑马灯的心得
助理工程师, 积分 1302, 距离下一级还需 698 积分
助理工程师, 积分 1302, 距离下一级还需 698 积分
助理工程师, 积分 1302, 距离下一级还需 698 积分
助理工程师, 积分 1302, 距离下一级还需 698 积分
什么学校什么专业有这样的条件?
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
我也在学FPGA&&有开发版
我课选了VHDL&&又在自学VERILOG 这个 和C比较接近 语法上比较容易接受.
我刚完成了 电子琴 测频率之类的制作 还都停不错
楼主咱们可以交流交流
开发FPGA与单片机,完全不是一种思维模式,要用电路的思维来作
初级技术员, 积分 8770, 距离下一级还需 -8670 积分
初级技术员, 积分 8770, 距离下一级还需 -8670 积分
初级技术员, 积分 8770, 距离下一级还需 -8670 积分
初级技术员, 积分 8770, 距离下一级还需 -8670 积分
开发FPGA与单片机,完全不是一种思维模式,
一个是并行思维(每个时钟的状态),一个是串行思维,
应该说FPGA是底层思维
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
中级技术员, 积分 273, 距离下一级还需 27 积分
FPGA的并行结构就是它的特点
我今年大三 刚开始接触FPGA才2个月左右
觉得入门也不是很难
当然思路和单片机还是区别的
做FPGA的时候,感觉就好象在做数字电路。
和在visual studio IDE里面调试C++的感觉完全不一样
资深技术员, 积分 379, 距离下一级还需 121 积分
资深技术员, 积分 379, 距离下一级还需 121 积分
资深技术员, 积分 379, 距离下一级还需 121 积分
资深技术员, 积分 379, 距离下一级还需 121 积分
学过C后再学Verilog 更容易接受,这是个不争的事实,至少本人当初学习的时候是这样感觉!
初级技术员, 积分 57, 距离下一级还需 43 积分
初级技术员, 积分 57, 距离下一级还需 43 积分
初级技术员, 积分 57, 距离下一级还需 43 积分
初级技术员, 积分 57, 距离下一级还需 43 积分
哈哈 下学期是学arm还是学fpga不定等待楼主更新
初级工程师, 积分 2373, 距离下一级还需 627 积分
初级工程师, 积分 2373, 距离下一级还需 627 积分
初级工程师, 积分 2373, 距离下一级还需 627 积分
初级工程师, 积分 2373, 距离下一级还需 627 积分
变成你需要的元器件,真有这么厉害吗
扫描二维码,随时随地手机跟帖
时间类勋章
技术奇才奖章
人才类勋章
涓涓之细流
发帖类勋章
社区建设奖章
等级类勋章
欢快之小溪
发帖类勋章
时间类勋章
技术高手奖章
人才类勋章
时间类勋章
精英会员奖章
等级类勋章
荣誉元老奖章
等级类勋章
坚毅之洋流
发帖类勋章
技术领袖奖章
人才类勋章
时间类勋章
终身成就奖章
等级类勋章
时间类勋章
您需要登录后才可以回帖
热门推荐 /4}

我要回帖

更多关于 fpga和单片机通信 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信