xupv5-LX110T led 0 1 2 4等点不亮是怎么回事

> XUPV5-LX110T Evaluation platform user guide
XUPV5-LX110T Evaluation platform user guide
已有 454926个资源
TI最新应用解决方案
上传者其他资源
FPGA/CPLD热门资源
本周本月全部
资源大小:997.7KB
上 传 者: ()
上传日期:
资源类型:应用文档
资源积分:1分
下载次数:16
参与讨论:
标&&&&签:
分&&&&享:
下载资源需要,并消耗一定的积分
Xilinx公司XUPV5-LX110T开发平台的用户手册
Q.为什么我点的下载下不了,但积分却被扣了
A.由于下载人数众多,下载服务器做了并发的限制。若发现下载不了,请稍后再试,多次下载是不会重复扣分的。
Q.我已经登录过账号,为什么还一直提示要求登录
A.出现这种情况是浏览器缓存问题,建议清理浏览器缓存后重启浏览器重新登录
下载资源意味着您已经同意遵守以下协议
1. 资源的所有权益归上传用户所有
2. 未经权益所有人同意,不得将资源中的内容挪作商业或盈利用途
3. EEWORLD下载频道仅提供交流平台,并不能对任何下载资源负责
4. 下载资源中如有侵权或不适当内容,请
5. 本站不保证本站提供的资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
大学堂最新课程
你下载资源过于频繁,请输入验证码
验证码:&&
若举报审核通过,可奖励2下载分
被举报人:
举报的资源分:
请选择类型
资源无法下载
资源分类不正确
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
* 详细原因:
EEWORLD下载中心所有资源均来自网友分享,如有侵权,请发送举报邮件到客服邮箱bbs_.cn 或通过站内短信息或QQ:联系管理员 高进,我们会尽快处理。xilinx&xapp1052&PCIe参考设计在XUPv5lx110t开发板的移植
xilinx xapp1052是一个使用PCIe参考设计,全称:Bus Master Performance
Demonstration Reference Design for the Xilinx Endpoint PCI Express
Solutions,使得PC内存和PCIe接口通过DMA模式数据读写。
该参考设计只支持ml555开发板,所以用到xc5vlx110t
FPGA要做一下更改,在\dma_performance_demo\fpga\implement\ucf下添加xilinx_pci_exp_blk_plus_1_lane_ep_lx110t.ucf:
###############################################################################
# Use this file only with the device listed
below.& Any other
# combination is invalid.& Do not modify this file
# regions designated for "User" constraints.
# Copyright (c) 2006 Xilinx, Inc.& All rights
###############################################################################
# Define Device, Package And Speed Grade
###############################################################################
CONFIG PART = XC5VLX110T-FF1136-1 ;
###############################################################################
# User Time Names / User Time Groups / Time Specs
###############################################################################
###############################################################################
# User Physical Constraints
###############################################################################
###############################################################################
# Pinout and Related I/O Constraints
###############################################################################
# SYS reset (input) signal.& The sys_reset_n
signal should be
# obtained from the PCI Express interface if
possible.& For
# slot based form factors, a system reset signal is usually
# present on the connector.& For cable based form
factors, a
# system reset signal may not be available.& In
this case, the
# system reset signal must be generated locally by some form
# supervisory circuit.& You may change the
IOSTANDARD and LOC
# to suit your requirements and VCCO voltage banking rules.
"sys_reset_n"&&&&&
LOC = "E9"& | IOSTANDARD = LVCMOS33 | PULLUP | TIG
# SYS clock 100 MHz (input) signal. The sys_clk_p and
# signals are the PCI Express reference clock. Virtex-5 GTP
# Transceiver architecture requires the use of a dedicated
# resources (FPGA input pins) associated with each GTP Transceiver
# To use these pins an IBUFDS primitive (refclk_ibuf) is
# instantiated in user's design.
# Please refer to the Virtex-5 GTP Transceiver User Guide
# (UG196) for guidelines regarding clock resource selection.
Net "sys_clk_p" LOC="AF4";
Net "sys_clk_p" IOSTANDARD = LVDS_25;
Net "sys_clk_n" LOC=AF3;
Net "sys_clk_n" IOSTANDARD = LVDS_25;
"refclk_ibuf"&&&&
DIFF_TERM = "TRUE" ;
# Transceiver instance placement.& This constraint
selects the
# transceivers to be used, which also dictates the pinout for
# transmit and receive differential pairs.& Please
refer to the
# Virtex-5 GTP Transceiver User Guide (UG196) for more
# information.
# PCIe Lane 0
INST "ep/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i" LOC
= GTP_DUAL_X0Y2;
###############################################################################
# Physical Constraints
###############################################################################
###############################################################################
# Timing Constraints
###############################################################################
# Timing requirements and related constraints.
"sys_clk_c"&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
PERIOD = 10
NET "ep/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/gt_refclk_out"
TNM_NET = "MGTCLK" ;
TIMESPEC "TS_MGTCLK"& = PERIOD "MGTCLK" 100.00 MHz
HIGH 50 % ;
###############################################################################
###############################################################################
###############################################################################
# Physical Constraints
###############################################################################
# BlockRAM placement
"ep/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_retry/generate_sdp.ram_sdp_inst"&&&&&
LOC = RAMB36_X3Y9 ;
"ep/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst"
LOC = RAMB36_X3Y13 ;
"ep/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_rx/generate_tdp2[1].ram_tdp2_inst"
LOC = RAMB36_X3Y12 ;
"ep/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst"
LOC = RAMB36_X3Y11 ;
"ep/pcie_ep0/pcie_blk/pcie_mim_wrapper_i/bram_tl_rx/generate_tdp2[0].ram_tdp2_inst"
LOC = RAMB36_X3Y10 ;
在\dma_performance_demo\fpga\implement\xst下添加xst_blk_plus_lx110t.scr:
-ifn ./xst/xilinx_pci_exp_blk_plus_inc.xst
-ifmt Verilog
-p xc5vlx110t-ff1136-1
-top xilinx_pci_exp_ep
-ofn xilinx_pci_exp_ep.ngc
-opt_mode SPEED
-opt_level 2
-uc ./xst/bmd_design.xcf
最后在命令行中选择这两个文件即可。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。你的位置:
XUPV5 LX110T 配置问题
近日,买了一块XUPV5 LX110T,上电后,发现板子自动加载程序。
我把CPLD和PROM都擦掉了,然后对V5进行编程,显示program success,可是程序并没有写进FPGA,也没有相应的结果出现,这是为什么呢?求大虾指点啊~~~~(之后把CPLD的原始jed文件又烧进去了,这时再对FPGA烧程序就成功了)
另外对PROM烧程序时,也是显示program success,可是还是没有相应的结果,这是什么问题呢。。。。
求大虾们指点。XUPV5-LX110T | 电子创新网赛灵思中文社区&>&xupv5-lx110t_pcie_x1_plus.zip
xupv5-lx110t_pcie_x1_plus.zip
上传大小:10.38MB
此文档和我上传的上一个PDF文件是配合使用的,为此将此资源设置为积分0
综合评分:5
{%username%}回复{%com_username%}{%time%}\
/*点击出现回复框*/
$(".respond_btn").on("click", function (e) {
$(this).parents(".rightLi").children(".respond_box").show();
e.stopPropagation();
$(".cancel_res").on("click", function (e) {
$(this).parents(".res_b").siblings(".res_area").val("");
$(this).parents(".respond_box").hide();
e.stopPropagation();
/*删除评论*/
$(".del_comment_c").on("click", function (e) {
var id = $(e.target).attr("id");
$.getJSON('/index.php/comment/do_invalid/' + id,
function (data) {
if (data.succ == 1) {
$(e.target).parents(".conLi").remove();
alert(data.msg);
$(".res_btn").click(function (e) {
var parentWrap = $(this).parents(".respond_box"),
q = parentWrap.find(".form1").serializeArray(),
resStr = $.trim(parentWrap.find(".res_area_r").val());
console.log(q);
//var res_area_r = $.trim($(".res_area_r").val());
if (resStr == '') {
$(".res_text").css({color: "red"});
$.post("/index.php/comment/do_comment_reply/", q,
function (data) {
if (data.succ == 1) {
var $target,
evt = e || window.
$target = $(evt.target || evt.srcElement);
var $dd = $target.parents('dd');
var $wrapReply = $dd.find('.respond_box');
console.log($wrapReply);
//var mess = $(".res_area_r").val();
var mess = resS
var str = str.replace(/{%header%}/g, data.header)
.replace(/{%href%}/g, 'http://' + window.location.host + '/user/' + data.username)
.replace(/{%username%}/g, data.username)
.replace(/{%com_username%}/g, data.com_username)
.replace(/{%time%}/g, data.time)
.replace(/{%id%}/g, data.id)
.replace(/{%mess%}/g, mess);
$dd.after(str);
$(".respond_box").hide();
$(".res_area_r").val("");
$(".res_area").val("");
$wrapReply.hide();
alert(data.msg);
}, "json");
/*删除回复*/
$(".rightLi").on("click", '.del_comment_r', function (e) {
var id = $(e.target).attr("id");
$.getJSON('/index.php/comment/do_comment_del/' + id,
function (data) {
if (data.succ == 1) {
$(e.target).parent().parent().parent().parent().parent().remove();
$(e.target).parents('.res_list').remove()
alert(data.msg);
//填充回复
function KeyP(v) {
var parentWrap = $(v).parents(".respond_box");
parentWrap.find(".res_area_r").val($.trim(parentWrap.find(".res_area").val()));
评论共有1条
正好用到,可以参考一下。
VIP会员动态
CSDN下载频道资源及相关规则调整公告V11.10
下载频道用户反馈专区
下载频道积分规则调整V1710.18
spring mvc+mybatis+mysql+maven+bootstrap 整合实现增删查改简单实例.zip
资源所需积分/C币
当前拥有积分
当前拥有C币
输入下载码
为了良好体验,不建议使用迅雷下载
xupv5-lx110t_pcie_x1_plus.zip
会员到期时间:
剩余下载个数:
剩余积分:0
为了良好体验,不建议使用迅雷下载
积分不足!
资源所需积分/C币
当前拥有积分
您可以选择
程序员的必选
绿色安全资源
资源所需积分/C币
当前拥有积分
当前拥有C币
为了良好体验,不建议使用迅雷下载
资源所需积分/C币
当前拥有积分
当前拥有C币
为了良好体验,不建议使用迅雷下载
资源所需积分/C币
当前拥有积分
当前拥有C币
您的积分不足,将扣除 10 C币
为了良好体验,不建议使用迅雷下载
无法举报自己的资源
你当前的下载分为234。
你还不是VIP会员
开通VIP会员权限,免积分下载
你下载资源过于频繁,请输入验证码
您因违反CSDN下载频道规则而被锁定帐户,如有疑问,请联络:!
若举报审核通过,可返还被扣除的积分
被举报人:
chanjoe525
请选择类型
资源无法下载 ( 404页面、下载失败、资源本身问题)
资源无法使用 (文件损坏、内容缺失、题文不符)
侵犯版权资源 (侵犯公司或个人版权)
虚假资源 (恶意欺诈、刷分资源)
含色情、危害国家安全内容
含广告、木马病毒资源
*投诉人姓名:
*投诉人联系方式:
*版权证明:
*详细原因:
xupv5-lx110t_pcie_x1_plus.zip}

我要回帖

更多关于 qled55x80u 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信