操作系统pcb*overpcb锣边是什么意思思

操作系统中的parbegin什么意思??_百度知道
操作系统中的parbegin什么意思??
正在积极备考操作系统中》~》~》吼吼,全英文的喔。。。~
  1、操作系统中的parbegin是指并发进程的意思。  2、并发性:一组进程的执行在时间上是重叠的。即一个进程执行第一条指令是在另一个进程执行的最后一条指令完成之前开始的。  3、宏观:一个时间段中几个进程都在同一处理器上,处于运行还未运行结束状态;  微观:任一时刻仅有一个进程在处理器上运行。实质是时分复用CPU。  4、计算机硬件能同时进行工作,但怎样才能充分发挥它们的并行工作能力还取决于程序的编制方法。通常将一个程序分成若干个可同时执行的程序模块,每个程序模块和它执行时所处理的数据就组成了一个进程,它们可以并发执行。  5、并发程序关键是编程设计。比如某程序需要循环执行输入、计算、输出三个过程,设计为三个可并行执行的程序模块和三个进程通过缓冲区交换信息等。
采纳率:71%
来自团队:
并行开始 parbegin 与parend配对使用,意思为并行开始。
本回答被提问者采纳
并行开始 parbegin 与parend配对使用,意思为并行开始。
为您推荐:
其他类似问题
操作系统的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。当前位置: >>
PCB行业术语
当前位置:PCB 资源网&PCB 英汉词典&A&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语azoic preflux / 偶氮类预焊剂 azeotrope / 共沸混合液 axial part / 轴向零件 AWG equivalent / 等效 AWG availability factor / 可用率 auxiliary cathode / 辅助阴极 autotest program / 自动检测程序 autoregistration / 自对准 autoplotter / 自动绘图仪 automatic testing equipment ( ATE) / 自动电测设备 automatic optical inspection( AOI) / 自动光学检测 automatic data-processing system / 自动数据处理系统 automatic conduction routing / 自动布线 automated test generation / 测试自动生成 automated quality solutions (AQS) / 自动化质量解决器 automated conductor routing / 自动导体布设 automated component insertion / 自动元件插装 autoclave / 压力锅 Au-Pt paste / 金铂导电膏 aueptance / 允收 attenuation / 信号衰减 atmosphere corrosion / 大气腐蚀 asymetric stripline / 不对称带状线 A-stage / A 阶段 assembly aided architectural design(AAAD) / 组装设计自动化 assembled drawing / 组装图 as received / 到货,收货 ASIC / 专用集成电路 artwork master / 照相原版 arrow diagram / 矢量图 array / 阵列 argon arc welding / 氢弧焊 arer array tape automated bonding / 面阵带式自动接合 arc resistance / 耐电弧性 aramid fiber / 聚散胶纤维 aquωus flux / 水溶性助焊剂 azeotropic mixture / 共沸混合物,恒沸物 xial pin package / 轴向引针封装 axiallead / 轴向引线(脚) AVL / 认可的供货商,合格供货方 availability / 供应能力,供货能力 auxiliary anode / 辅助阳极 autotest program / 自动检测程序 autoregistered channel contact / 自对准沟道接触 automatic X-ray inspection / 自动 X 射线检查 automatic plotting / 自动绘图 automatic laser test / 自动激光测试 automatic control system / 自动控制系统 automatic component placement / 自动配置元件 automated test equipment / 自动测试设备 automated dimensioning / 自动标注尺寸 automated component placement / 自动元件布局 autoclave type vacuum press / 高压釜型真空压机 autocalytic plating / 化学镀(自催化镀) auger electron spectroscopy(AES) / 俄歇电子光谱法 attributes data / 计数数据 attachment by low melting alloy / 低熔点合金焊接法 ATE / 自动电测设备 A-stage resin / A 阶树脂 assembly drawing / 装配图 assembly / 组装 assembled / 组装件 aspect ratio / 纵横比 as-fired / 烧结态 artwork / 照相底图 array splice / 阵列熔接 aromatic polyamide paper / 聚芳酰胺纤维纸 area opening / 开孔面积 area array package (AAP) / 面积阵列封装(元件) arc discharge / 电弧放电 aramid / 聚酰胺树脂 aqueous cleaning / 水清洗 AQS / 自动化质量解决器 approved vendor list ( AVL) / 认可的供货商,合格供货方 application specific integrated circuit(ASIC) / 专用集成电路 apertures / 开口,钢版开口,光束出口 AOI / 自动光学检测 anti-tracking coating / 保护涂层 anti-pit agent / 抗凹剂 antioxidant / 抗氧化剂 antimony free / 脱销素 antenna / anodizing / 阳极氧化 anodization / 阳极处理 anodic oxidization or anodization / 阳极氧化 anodic cleaning / 阳极清洗 anode sludge / 阳极泥 anode sintering / 阳极烧结 anode / 阳极 annular ring / 孔环 annotation / 注解,标记,注释 annealed copper foil / 退火铜结 anisotropic etching / 单向蚀刻,各向异性蚀刻AQL / 可接收质量等级 application specific integrated circuit(ASIC) / 专用集成电路 aperture turret / 同心圆 AOM / 激光光路开关 any layer inner via hole ( ALIVH) / 任意层内导通孔 antistatic paint / 防静电涂料 anti-pad / 隔离环 antimutagen / 抗诱变剂 anti-foapting agent / 消泡剂 ANSI / 美国标准协会 anodized dielectric film / 阳极氧化介质膜 anodic polarization / 阳极极化 anodic coating / 阳极镀层 anodically-grown tantalum-oxide film / 阳极生长钮氧化物膜 anode slime / 阳极残渣 anode coating / 阳极镀层 annular width / 连接盘宽度 annular pad / 环形盘 annealing / 退火 anneal / 韧化 anisotropic conductive film connection(ACFC) / 单向导电膜安装,各向 异性导电膜连接 剂 angle rotor / 角转头 angle of attack / 刮印角 anchoring spurs / 着力盘趾 anchoring spur / 盘趾 analytical ultracentrifuge / 分析超离心机 analog circuit / 模拟电路 amp-hour / 安培小时 ampere / 安{培) amorphous thin film / 非晶薄膜 amorphous polymer / 无定形聚合物 (ANSI) / 美国标准协会 amalgam gold plating / 柔齐镀金 AM / 感音成像显微镜 aluminum nitride ceramics / 氮化铝瓷 aluminium oxide passivation / 三氧化二铝钝化法 alternative solvent / 替代溶剂 alternatives flon cleaner / 代用氟利昂清洗剂 alternative hypothesis / 备择假设 ALT / 交错加层技术 alpha error / 第一类错误anisotropic conductive contact / 单向导电接触, 各向异性导电接anisotropic conductive adhesives / 单向导电黏结剂,各向异性导电黏结 触 angstrom unit / 埃单位 angle of contact / 接触角 angled bond / 角形连接 anchoring spur / 盘趾 analyzer / 分析器,分析仪,检偏振器 analysis of covariance / 协方差分析 amplitude / 电压幅度 amperometric titration / 电流滴定 amorphous thin film / 非晶薄膜 amorphous semiconductor material / 非晶半导体材料 amorphous metal mesh / 非晶金属网 amberlite / 合成树脂 amalgamation / 汞齐化 aluminum nitride substrate / 氮化铝基板 aluminium thin film / 铝薄膜 alumina substrate / 氧化铝基板 alternative solvent / 替代溶剂 alternative laminar technology ( ALT ) / 交错加层技术 alternating current( AC) / 交流电 alphanumeric code / 字母数字代码 alloy plating / 合金电镀 alloy film 合金膜 / 合金膜 allomerism / 异质同晶 a1kyI-imidazol / 烷基咪唑 alkaline etchant / 碱性蚀刻液 alkaline cleaner / 破性清洗液 ALIVH / 任意层内导通孔 alignment mark / 对准标记 air knife / 气刀 air flow impulse / 冲击式气流 air agitation / 空气搅拌 agglomeration / 凝絮 affinity elution / 亲和洗脱 adsorption dry / 吸附干燥 adsorption chromatography / 吸附层析 adsorbed contaminant / 吸附污染物 adhesive sheet / 黏结片,半固化片 adhesive energy / 蒸镀膜附着能量 adhesive coated surface / 涂胶面 adhesive coated dielectric film / 涂胶黏剂绝缘薄膜 adhesive / 胶黠剂,黠结胶,贴片胶 adhesion promotor / 附着力促进剂alloy junction / 合金结 alloy diffusion technology / 合金扩散工艺 all drilled hole / 全部钻孔 alkaline permanganate solution / 碱性高锰酸盐溶液 alkaline degreasing / 化学除油 alkaline ammonia etchant / 氨碱蚀刻液 aliphatic solvent / 脂肪族溶剂 air sparger / 空气搅拌 air inclusion / 气泡夹杂 air bearing / 空气轴承 air agitation / 空气搅拌 ageing / 老化 AES / 俄歇电子光谱法 adsorption coefficient / 吸附系数 adsorption / 吸附 adhesive strength of thick film conductor / 厚膜导体附着力 adhesive face / 胶秸剂面 adhesive-coated uncatalyzed laminate / 涂胶无催化层压板 adhesive coated foil / 涂胶铜箱 adhesive-coated catalyzed laminate / 涂胶催化层压板 adhesion strength / 附着力,附着强度 adhesion promotion / 增教处理,附着力增强当前位置:PCB 资源网&PCB 英汉词典&B&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语by-product / 副产品,副产物 butting connector / 对接引脚 burst / 并发加工 burr / 毛刺,毛头 burn-out zone / 烧除气体区 burn in static / 静态老化 burn in dynamic / 动态老化 buried via hole / 埋导孔,埋孔 buried resistance board / 埋入电阻板 buried microvias / 微埋孔 buried bump interconnection technology(B'it) / 嵌入凸块互连技术,埋入 凸块互连技术 butt lead / 搭接引线,对接引线 butter coat / 厚涂层,外表树脂层 bursh plating / 刷镀 burnt deposit / 烧焦镀层 burn-out gas / 烧除气体 burning / 烧焦 burn in / 老化 buried via / 埋孔 buried resistance / 埋入电阻 buried hole / 埋通孔 buried and blinded via hole multiplayerboard / 埋/盲孔多层板 bumping process / 凸块工艺 bumped wafer / 带凸块晶片 bumped tape / 带凸块载带 bumped ball grid array (BBGA) / 凸块球栅阵列 BUM / 积层多层印制板 bullet pad / 子弹形盘 bulge test / 鼓凸试验 built-in / 内建 build-up multilayer printed board(BUM) / 积层多层印制板 build-up flexible printed board / 积层挠性印制板 bugle hole / 喇叭孔 buffing / 抛光研磨 buffer material / 缓冲材料 bubble effect / 气泡效应 BT / 双马来酰亚胺三嗦树脂 B-stage prepreg / B 阶教结片 B-stage Lot / B 阶批量 B-stage / B 阶段 brush plating / 刷镀,电刷镀 brown streak / 棕色条纹 brown oxidation / 棕氧化 Brinell hardness / 布氏硬度 bright pickling / 光亮浸蚀 brightening agent / 光亮剂 bright dip / 光泽浸渍处理,浸亮 bridge / 锡桥 break-out / 破环 breaking length / 断裂长 bleakaway panel / 可断开板,可断拼版 brazability / 纤焊性 BQFP / 带防冲挡四边扇平封装器件 bow of weave / 弓纬 boundary scan test / 边界扫描测试 bounce pad / 反射盘 bottle neck / 瓶颈工序 bornb sight / 对准靶标 border data / 外框数据,板框数据,边沿数据 border area / 边沿区,外框 bond-to-bond distance / 接合间距离,连(焊)接距离 bond strength / 黏合强度,黏结强度 bond site / 接合位置,连(焊)接位置 bond lift-off / 接合脱离 bond interface / 接合界面,接合连接盘bumping / 凸块封装技术 bumped tape automated bonding(BTAB) / 有凸块的带载自动焊接,凸点 带载自动焊 bumped die / 带凸块芯片,带凸块的裸芯片 bump / 突块,凸块 bulls eye / 靶心,定位标识 bulk feeder / 散装供料器 bulge / 凸起,凸出,鼓起,隆起 build-up process / 积层工艺,积层法 build up method / 积层法 build-up / 增厚;堆积,积层 bugging height / 障碍高度 buffer material / 缓冲材料 buffer / 缓冲剂 BTAB / 有凸块的带载自动焊接 B-stage resin / B 阶树脂 B-stage material / B 阶材料 B-stage Lot / B 阶批量 BS / 基础规范 brushing / 磨刷 brown oxide / 棕色氧化处理,棕色氧化 brittleness / 脆性 bright plating / 光亮电镀 brightness nickel plating / 光亮镀镍 brightener / 光泽剂 bridging / 跨接 break point / 出像点,显像点,露铜点 break-out / 破环 breakdown voltage / 崩溃电压,击穿电压 brazing / 纤焊,硬焊 braid / 编线 box diffusion / 箱法扩散 bow / 弯曲,扭曲,板翘 boundary / 边界,界面,界线 bottom / 言孔底部 boss / 凸台 bornb sight / 对准靶标 border conveyor / 边框传送器,筋条传送器 bond-to-die distance / 芯片接合距离 bond surface / 接合面 bond site / 接合位置,连(焊)接位置 bond separation / 接合间隔 bond land / 连(焊)接盘 bond interface / 接合界面,接合连接盘 bond interface / 接合界面,接合连接盘 bonding tool / 接合工具 bonding tester / 黏结测试器 bonding technology of integrated circuit / 集成电路焊接工艺 bonding sheet / 黏结片 bonding layer / 黏结层,结合层 bonding die / 接合芯片 bonding / 键合,连(焊)接 bond enhancement treatment / 黏结增强处理 bond deformation / 接合变形 bond / 接合,连(焊)接 BOM / 物料清单 boiling point / 沸点 BOD / 生化需氧量 board-mounted connector / 板装引脚 blur edge / 模糊边带,模糊边圈 blue plaque / 蓝纹 blotting paper / 吸墨纸 blockout / 封网 blocking contact / 阻挡接触 block diagram / 方框图 blind via hole / 盲导通孔,盲孔 blind surface microvias / 表面微盲孔 blends / 配料 bleach / 漂洗 blanking / 冲切加工 blank / 坯料,空白料 black oxide / 黑氧化,黑化 bits / 头,针尖 bismaleimide triazine resin(BT) / 双马来酰亚胺三嗦树脂 bismaleimide / 双马来酰亚胺 biochemical oxygen demand ( BOD) / 生化需氧量 bill of material (BOM) / 物料清单 bifurcated solder terminal / 分叉焊端,分叉焊接端子 bifunctional catalyst / 双功能催化剂 bidirectional characteristic / 双向特性 bias expansion / 斜张法 BGA / 球栅阵列 beta error / 第二类错误 bend test / 弯曲试验 benchmark testing / 测试基准 bellows contact / 折叠式簧片,扁簧式接触件 bed-of-nails fixture / 针床夹具bonding wire / 连(焊)接金属丝,接合金属线 bonding time / 接合时间 bonding tester / 黏结测试器 bonding strength / 黏结强度 bonding pad / 键合点 bonding island / 接合岛,连{焊)接岛 booding area / (焊)接面积,接合区域 bond envelope / 接合包封 bonded-contact board / 焊接板 bondability / 可键合性,可接合性 bomb sight / 弹标 boiling water absorption rate / 煮沸吸水率 body land clearance / 刃带间隙 board thickness / 板厚度,板厚 board / 板 blue-ribbon connector / 矩形插头座 blow hole / 吹孔,气孔 blotting / 干印,吸墨 blocking variables / 变量隔离 blocking band curvature / 阻挡层能带弯曲 blister / 起泡 blind test / 双盲试验 blind conductor / 非功能性导线 bleeding / 渗出,渗漏 blanking / 冲切加工 blanket gas / 保护气体 blade-fork contact / 刀刃音叉式簧片 blackening / 涂黑 B' it printed board / 埋入式凸块互连印制板 bismaleimide triazine epoxide wovenglass fabric coppe.-c1ad / 双马来酰 亚胺三嗦环氧玻璃布覆铜箔板 birdcage / 笼状缺陷 binder / 黏合剂,黏结剂 bi-level stencil / 双阶式钢版 bifurcated contact / 双叉式簧片,双叉接点,双叉接触件 bidirectional characteristic / 双向特性 bias sputtering / 偏压阴极溅镀 bias / 纬斜 beveling / 倒斜边,切斜边 BeO substrate / 氧化镀基板 bendability / 耐弯曲性 belt furnace / 带式炉 bed-of-nails testing / 针床测试 beam reflow soldering / 光束回流焊 beam lead isolation / 梁式引线隔离 beam lead device / 梁式引线器件 beam lead bonder / 梁式引线键合机beam lead-isolated integrated circuit / 梁式引线隔离集成电路 beam lead bonding technology / 梁式引线载带自动焊接芯片工艺 beam lead / 梁式引线,梁式引脚当前位置:PCB 资源网&PCB 英汉词典&C&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语cyclone jet / 旋风式喷气流 cycle rate / 循环速率 cycle / 循环加工 CVD / 化学气相沉积 cut sheet / 页装 cut-and-strip / 刻图与剥图 cusum chart / 累积和图 customer detail specification ( CDS) / 用户详细规范 curtain coating / 帘涂,帘幕涂布法 current density range / 电流密度范围 current density / 电流密度 current / 电流 curing agent / 困化剂 cure percent / 固化百分率 cup solder terminal / 杯型焊端 cumulative tolerance / 积累误差 CTS / 能力试验块 CTE / 热膨胀系数 C-staged resin / C 阶树脂 CSP / 芯片尺寸封装,芯片级封装 cross wise direction / 横向 crosstalk / 串扰 cross-over / 跨交 crosslink / 交联 crosshatch testing / 十字割痕试验 cross flow blower / 贯流式风机 crop mark / 剪切标记 critical process / 关键过程,关键工序 critical path / 关键路径 critical defect / 致命缺陷,关键缺陷 crimp contact / 压扁接触片 cyclic voltametric stripping (CVS) / 循环伏安测量法, 循环伏安电子溶蚀 测量 cycle mode / 逐次打击式 CVS / 循环伏安测量法 cut to size panel / 剪切板 cut-off / 割除,切割 cut and peel / 切割剥离 customer relationshipmanagement(CRM) / 客户关系管理 cushion / 压垫(缓冲)材料 current efficiency / 电流效率 current density of junction / 结电流密度 current-carrying capacity / 载流能力,载流量 curing temperature / 固化温度 cure time / 固化时间 cure / 固化 cupric chloride etchant / 氯化铜蚀刻液 cubic components / 立方体元件,立方体器件 CTP / 综合测试图形 CTB / 能力试验板 C-stage / C 阶段 crush zone / 磨碎区 crossunder / 穿交 cross section area / 截面积 cross linking / 交链 crossing count / 交叉数 crosshatching / 十字交叉线 cropping / 切尾 CRM / 客户关系管理 critical path method (CPM) / 要径法 critical operation / 关键操作 crimped connection / 压接 crevice corrosion / 裂隙腐蚀 creep / 潜变,蠕变 crease / 皱褶 creak / 础裂痕 crazing (base material) / (基板)微裂纹 cratering / 陷坑 crack of plating / 镀层裂缝 CQFP / 陶瓷四边扁平封装 CPM / 客户抱怨比 CP-I etch / CP-I 腐蚀 CP6 etch / CP6 腐蚀 Cp / 能力性能指数 cover lay / 覆盖层 cover coat / 覆盖涂层 coupling agent / 偶联剂 counter flow / 上下翻流,上下回流 counter boring / 垂直向下扩孔,埋头孔,沉头孔 cost metrix / 费用矩阵 corrosion protection / 防腐蚀 corrosion / 腐蚀 corner mark / 板角标记,角标 core material / 内层板材,芯材 core board / 芯板 cordwood arrangement / 积木式排列 copper side / 铜箔面 copper plating / 电镀铜 copper plated-through hole / 镶铜导通孔 copper paste / 铜膏 copper invar copper core (CICC) / 因瓦合金 copper foil surface treatment / 铜箔表面处理 copper foil / 铜箔 copper clad laminate board (CCL ) / 覆铜箔层压板,覆箔板 copper accelerated salt spray test / 铜加速盐雾试验,CASS 试验 coplanarity / 共面性(度) convection/IR reflow soldering / 热对流红外辐射回流焊 control limits / 控制限 controlled collapse chip connection / C4 晶片焊接,控制熔化高度芯 controlled collapse bonding (CCB ) / 控压连(焊)接 control collapse soldering / 控制崩塌焊接 contract service / 外包厂,分包商,外协加工 contour length / 伸展长度 continuity inspection / 连通检查 contact tenting light frame- work / 接触式蔽光框架法 contact spacing / 接触间距 contact retention force / 接触阻力creel / 经轴架 cream electrolyte / 导电膏 crazing( conformal coating) / (敷形涂层)微裂纹 crazing / 微裂纹 crater / 弹坑,凹坑 crack of foil / 金属第裂缝 CPM / 要径法 Cpk index(Cpk) / Cpk 指数 CPGA / 陶瓷针式网格阵列,陶瓷封装 CP4 etch / CP4 腐蚀 coverlayer / 保护层,外膜 covering power / 覆盖能力 coupon / 板边试样,附连板 counter sinking / 锥形孔 counter current rinsing / 逆流漂洗 cost of quality / 质量成本 corrosive flux / 腐蚀性焊剂 corrosion of metals / 金属腐蚀 corrode paste test / 倒腐蚀膏试验 corner crack / 孔角断裂 core board via filling / 芯板导通孔堵塞 cordwood module / 积木式微型组件 copper thick film printed wiring board / 铜厚膜印制板 copper plating adhesion test / 铜镀层附着力测试 copper plated-through hole / 镶铜导通孔 copper plated-through hole / 镶铜导通孔 copper-mirror test / 铜镜试验 copper-invar-copper board / 因瓦铜夹心板 copper foil laminate 覆铜箔板 / 覆铜箔板 copper cyanide plating / 氟化铜镀 copper clad industrial laminate (CCIL) / 凭申请认证制度,CCll 制度 coplanar leads / 共面引脚,共面引线 cooler / 冷却器 control strip / 光尺 controlled depth drilling / 定深钻孔,钻孔深度控制 controlled collapse bonding (CCB ) / 控压连(焊)接 controlled collapse / 定高坍塌 control chart / 控制图 contract electronic manufacturer(CEM) / 电子品合同式制造商 continuous lamination / 连续压合,连续层压 continuity / 连通性 contact spring / 接触弹簧 contact size / 接触尺寸 contact resistance / 接触电阻 contact printing / 接触印刷,接触曝光 contact photo-printing / 接触式感光印制 contact hole / 接触孔,引线孔 contact force / 接触压力 contact corrosion / 接触腐蚀 contact area / 接触区,接触面积 contact aluminium / 铝接触 contact / 接触件 conner mark / 角标志 connector two-part printed board / 印制板双件引脚 connector one-part / 单件引脚 connector contact / 引脚接触件 connector / 引脚 conformal mask / 敷形掩模 confirmation run / 确认试验 cone type sprayer / 圆锥型喷嘴 conductor width/space / 线宽/间距 conductor track / 导电带 conductor to land spacing / 导线与连接盘间距 conductor thickness / 导线厚度 conductor side / 导线面 conductor pitch / 中心线距,导体节距 conductor line / 导体线 conductor exposure / 露线 conductor base spacing / 基板导线间距contact plating / 插头电镀 contact length / 接触长度 contact hardening / 接触硬化 contact exposure imaging / 底片接触曝光成像 contact bonding adhesive / 接触黏合剂 )(焊接)接触角 / (焊接)接触角 contact alloying / 接触合金 constraining core / 加强芯板,夹芯 connector with mixed contacts / 混装式引脚 connector two-part / 双件引脚 connector housing / 引脚座 connector area / 引脚区域 connectivity / 连接度 conformal coating / 敷形涂层,保护形 confidence interval / 置信区间 cone-formation / 锥形缺陷 conductor width / 导线宽度 conductor trace line / 导线 conductor to hole spacing / 导线与孔间距 conductor spacing / 导线间距 conductor resistance / conductor pattern / 导线图形 conductor layer / 导线层,导体层 conductor base width / 基板导线宽度 conductor / 导电带,导线当前位置:PCB 资源网&PCB 英汉词典&D&A B C D E F G H I J K L M N O P Q R S T U V W X Y ZPCB 术语搜索内容搜 索中英文 PCB 行业术语dynamic mechanical analysis ( DMA ) / 动态秸弹性分析,动态热机分析dynamic flexible printed board / 挠性印制板 dynamic flex board / 动态挠性板 durability / 耐久性 dummy plating / 假电镀 dummy / 假镀片(板).假阴极 ductility / 延展性 dual transistor / 双晶体管 dusting method / 喷粉法 dummy substrate / 模仿基饭,伪基板 dummy pattern / 虚拟图形 dumet / 杜美丝 dual wave soldering / 双波蜂焊接 dual-strip line / 双带状线 dual inline package ( DIP ) / 双列直插式封装 dual-ground connection / 双地线连接 dual coated fiber / 双涂覆层光纤 DSW / 直接分步重复曝光 DSA / 尺度稳定式阳极 dry process / 干法工艺 dry photoetching technology / 干法刻蚀工艺 dry film resist / 干膜抗蚀剂 dry film imaging / 干膜法图形转移 dryetching / 干刻 drum side / 铜第光面,光阴面 drum scan type plotter / 鼓形扫描绘图机 dross / 浮渣,焊渣,残渣 dropping / 点滴(胶)法 drill pointer / 磨尖机,磨钻头机 drilling / 钻孔 drilled bare board / 己钻孔裸板 drill diameter / 钻头直径 drill axis / 长刃 drawbridged component / 吊桥元件 drag out / 带出 drag in / 带进 DPA / 破坏性物理分析 downtime / 停机时间 double treated foil / 双面处理铜箔 double-sided printed wiring board / 双面印制线路板 double-sided flexible printed wiring board / 双面挠性印制线路板 double sided board / 双面板 double-sided assembly / 双面组装件 double resist exposure / 二次抗蚀剂曝光 double level routing / 双层布线 double density / 双密度 doping technique / 掺杂工艺 doping / 掺杂 doped dielectric / 掺杂电介质 dopant material / 掺杂剂材料 dog ear / 狗耳 dog bone / 狗骨结构 doctor blade / 修平刀,刮平刀 DNC / 分布式数控 DMA / 动态热机分析 disturbed joint / 受扰焊点 distributed numerical control (DNC) / 分布式数控 distributed capacitance / 分布电容dual inline memory / 双列直插式存储器模块 dual fixture / 双重夹具,双组夹具 dual beam laser interferometer / 双束激光干涉仪 DSS / 决策支持系统 DS / 详细规范 dry plate / 干版 drying / 干燥 dry film photo resist / 干膜光致抗蚀剂 dry film / 干膜 dry box / 干燥箱 drum scan type plotter / 鼓形扫描绘图机 drum-buffer- rope( DBR) / 限制驱导式排程法 dropping corrosion test / 点滴腐蚀试验 drive file / 驱动文件 drill point concentricity / 钻尖同心度 drill facet / 钻头切削面 drill drawing / 钻孔图 drill body length / 钻体长度 drawbridging / 吊桥效应 drag soldering / 拖焊 drag in/drag out / 带进/带出 drafting image / 绘制图像 DP / 交货拼板 double wet pass / 两次湿印法 double sided treated copper foil / 双面粗糙铜箔,双面粗化金属箔 double-sided printed board / 双面印制板 double-sided copper-clad laminate / 双面覆铜箔层压板 double sided board / 双面板 double sided abrasive machine / 双面研磨机 doubl-plug diode / 双插头二极管 double layer / 双电层 double access / 双面露出,双余隙 doping accuracy / 掺杂精度 doped epitaxial layer / 掺杂外延层 dopant redistribution / 掺杂剂再分布 dont-care area / 忽略区 dog bone design / 哑铃式互连设计,狗骨式互连设计 doctor blade method / 刮板法 DO / 溶氧量,溶解氧 3D-MCM (three dimension multi-chip module) / 三维多芯片模块封装 disturbed soldered connection / 紊流焊料连接 disturbed connection / 移位焊点 distributed constant circuit / 分布参数电路 dissolved oxygen (DO) / 溶氧量,溶解氧 dissolution of termination metallization / 端子金属化溶失 dissipation factor / 损耗因数,耗散因数 dispersion / 溶胶剂 dispersant / 分散剂 dispenser / 滴涂器 dish down / 碟形下陷,凹陷 discrete wiring board assembly / 分立布线印制板组装 discrete component / 分立元件 discharge spot welding / 储能点焊 direct plating / 直接电镀,直接镀板 direct imaging method / 直接成像法 direct emulsion / 直接乳胶 direct drawing method / 直接绘图法 direct current sputtering / 直流溅射法 direct chip attaching (DCA) / 直接芯片贴装 dipping / 浸渍法 dip coat / 浸涂法 dimpled ball grid array(DBGA) / 微凹球栅阵列 3-dimension mounted technology / 3-D 安装技术 dimensional variation ratio / 尺寸变化率 dimensional stability / 尺度安定性,尺寸安定性 digitize / 数字化 diffusion under epitaxial layer / 外延层下扩散 diffusion self-aligned technology / 扩散自对准工艺 diffusion layer / 扩散层 differential etching / 差分蚀刻法 die stamping / 冲压,模具压印 die mounting / 芯片装架 dielectric substrate isolation / 介质衬底隔离 dielectric spacing / 介质间距 dielectric phase angle / 介质相位角 dielectric loss angle / 介质损耗角 dielectric isolation / 介质隔离 dielectric film / 介质胶片 dielectric dispersion / 介电分散 dielectric breakdown voltage / 介质崩溃电压 dielectric / 介质 die bonding / 裸芯片连(搭)接 die attachment technology / 芯片安装技术 D-glass / D-玻璃纤维板 detailed specification ( DS) / 详细规范 desoldering station / 吸锡台 depolarization / 去极化 dependant demand / 相依需求dissolution of metallization / 金属化溶失 dispersion coating / 弥(扩)散电镀 dispersing technique / 分散工艺 dispensing / 滴涂,逐点分配,定点分配,定量分配 dispense method / 点胶法 discretionary wiring / 选择布线 discrete wiring / 分立布线,离散布线 discrepant material / 不合格材料 direct step on wafer(DSW) / 直接分步重复曝光 direct indirect stencil / 直间版膜 direct film / 直写底片 direct electron beam lithographic systern / 电子束直接曝光装置 direct dimensioning / 直接尺寸标注 direct cleaning / 直流清洗 dip soldering / 浸焊,拖焊法 diphase cleaning / 双相清洗 DIP / 双列直播式封装 dimple / 微凹 dimensioned hole / 注尺寸孔 dimensional stable anode( DSA) / 尺度稳定式阳极,非溶解式阳极 dihedral angle / 双反斜角 digital circuit / 数字电路 diffusion technique / 扩散工艺 diffusion mask / 扩散掩模 diffusion bond / 扩散连(焊)接 die stamping method / 模压法 die pad / 裸芯片连接盘 dielectric thin film / 介电薄膜 dielectric strength / 介质强度,抗电强度 dielectric power- factor / 介质功率因数 dielectric paste / 介电膏 dielectric loss / 介质损耗 dielectric gap / 绝缘间隙 dielectric dissipation factor / 损耗因数,介质损耗因数 dielectric constant / 介电常数 dielectric breakdown / 介电击穿 die bump / 芯片凸块 die attachment using alloy solder / 合金法粘片 die / 裸芯片 device / 器件 destructive physical analysis ( DPA ) / 破坏性物理分析 desoldering gun / 吸锡枪 dependent of feature size / 要素尺寸相关原则 depanelization / 切开,分开 dentrices / 树枝状物 densitometer / 光密度计 denier / 但尼尔 dendritic growth / 枝状生长,树枝状生长 demarcation line / 分界线dent / 凹陷 densitomer / 透光度计 dendritic migration / 树枝状迁移 demountable vacuum system / 可拆卸真空系统 delivery inspection / 发货检查当前位置:PCB 资源网&PCB 英汉词典&E&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语eyelet bond / 环形压焊 extrusion of conductor width / 导体过宽 extrinsic capacitance / 外部电容 extraneous metal / 残余金属 extra high frequency ( EHF) / 超高频电磁波 external layer / 外层 exposure experiment / 暴露实验 exposure dose / 照射剂量 experimental error / 试验误差 expanded contact / 延伸接触 exotherm / 放热曲线 exclusion area / 排除区;免验区 excising / 切除,外引线切除,外引线切割 evaporative rate analyzer (ERA) / 挥发率分析仪 evaporation mask / 蒸发掩模 evaporated dielectric deposition / 蒸发介质涂覆 eutectic solders / 共晶焊料 eutectic composition / 共熔组成 eutectic / 共熔 ethanol / 乙醇 etch pit / 腐蚀坑 etching resist ink / 抗蚀印料 etching rate checking / 蚀刻速率测定 etching mask / 抗腐蚀掩模 etching / 腐蚀,蚀刻 etched V-groove silicon chip ribbon fiberconnector / 硅片刻蚀 V 槽带状 光纤引脚 etched out surface / 去铜箔面 etchback shadowing / 凹蚀死角 etchant / 蚀刻剂,腐蚀剂 extrusion pressing / 挤压成型 extrasing moulding / 挤塑法 extra via hole / 多余孔 extraneous copper / 残余铜 extraction tool / 拔除工具 extended card / 引伸插件 exposure effect / 暴露影响 exposure / 曝光 expansion-matched plastic / 膨胀系数匹配塑料 exothermic reaction / 放热反应 exfoliation / 鳞皮 excising / 切除,外引线切除,外引线切割 excess solder connection / 过量焊点 evaporation source / 蒸发源 evaporated dielectric film / 蒸发介质薄膜 eutection / 低共熔合金 eutectic die attach / 低共熔芯片贴装,低共熔点裸芯片连接 eutectic bonding / 共晶焊 etra-etch / 氟树脂粗蚀剂 etch-pit density / 腐蚀坑密度 etching technology / 刻蚀工艺 etching resist / 抗蚀剂,抗蚀层 etching of aluminum foil / 铝箔腐蚀 etching indicator / 蚀刻指标,蚀刻指示图 etch factor / 蚀刻因子,蚀刻函数 etched printed boards / 己蚀刻印制板 etch depth / 腐蚀深度 etch back / 凹蚀 ESS / 环境应力筛选 escapes / 漏失 escape rate / 漏失率 error / 误差 equivalent effective stratωpheric chlorine(EESC) / 氯浓度 epoxy transistor / 塑封晶体管 epoxy smear / 环氧腻污,环氧钻污 epoxy novolac / 环氧酚醛 epoxy-glass printed-circuit board / 氧玻璃印制电路板 epoxy-encapsulated solder connection(ESC) / 环氧树脂囊包焊接 板 璃布玻璃纤维复合覆铜箔板 epoxide cellulose paper copper-clad laminates / 环氧纸质覆铜箔板 epitaxial step / 外延台阶 epitaxial stacking fault / 外延堆垛,外延层错 epitaxial region / 外延区 epitaxial layer / 外延层 epitaxial growth technology / 外延生长工艺,外延生长技术 environmental test / 环境试验 environmental impact assessment / 环境影响评价 environmental characteristic / 环境特性 engraving / 刻槽 engineering drawing / 工程图 energy dispersive X-ray analysis (EDX) / 能量扩散×射线分析 end product / 最终产品,终产物 end missing / 断经 end mill / 端铁刀 end cap / 封头 enclosed metal junction / 内封金属连接 encapsulating / 囊封,胶囊 encapsolation test / 密封性试验 emulsion side / 乳胶面 emulsion mask / 乳胶掩模 emulsion / 乳剂层 emulsification / 乳化 EMI / 电磁干扰 EMC / 电磁兼容性 embedding / 灌封 embedded component / 埋入元件 elongation / 延伸性,伸长率 elementary analysis / 元素分析 electroviscous effect / 电黏效应 electrostatic spray / 静电喷涂escapes / 漏失 ESC / 环氧树脂囊包焊接 ERA / 挥发率分析仪 epoxy value / 环氧值 epoxy transfer-moulding powder / 塑封用环氧树脂粉 epoxy resin / 环氧树脂 epoxy glass substrate / 环氧玻璃基板 epoxy encapsnlation / epoxy / 环氧树脂 箔结板 纸复合覆铜箔板 epitaxial substrate / 外延衬底 epitaxial step / 外延台阶 epitaxial slice / 外延片 epitaxial process / 外延过程 epitaxial isolation / 外延隔离 environment factor / 环境系数 environmental stress screening ( ESS ) / 环境应力筛选 environmental impact / 环境影响 entry material / 盖板 engineering plastic / 工程塑料 engineer change request notice ( ECRN) / 原件规格更改通知 endurance test / 耐久性试验 end missing / 断经 end missing / 断经 end item / 最终成品 enclosure / 机箱 encapsulation / 密封,封装 encapsulant / 封装剂 emulsion side / 乳胶面 emulsion screen / 乳胶网版 emulsion degreasing / 乳化除油 emulsifying agent / 乳化剂 emission standard / 排放基准 emergency pit / 应急槽,备用槽 embossing / 凸出性压花 embedded component / 埋入元件 emanation overlay / 放射性同位素涂层 elementary diagram / 接线原理图 electro-winning / 电解冶炼 electrostriction / 电缩作用 electrostatic coat / 静电涂覆epoxide woven glass fabric copper-clad laminates / 环氧玻璃布基覆铜范 epoxide synthetic fiber fabric copperclad laminates / 环氧合成纤维布覆 epoxide non woven woven glass reinforced copper-clad laminat / 环氧玻 epoxide cellulose paper core glass cloth surfaces copper-cla / 环氧玻璃布 electrosorptive spreading / 电吸附散布 electroplating / 电镀 electrophoretic mobility / 电泳迁移率 pelectrophoresis deposition coating process / 电泳沉积法 electroosmosis / 电渗 electronic package hierarchy / 电子构装层级 electronic data interchange format(EDIF) / 电子数据互换格式 沉积 electron beam welding machine / 电子束焊接机 electron-bearn-sensitive diffusion mask / 电子束敏感扩散掩模 electron beam melting system / 电子束熔化装置 electron beam lithographic machine / 电子束曝光机 electron beam curing method ( EBC ) / 电子束固化方式 electron-beam bonding / 电子束连接 electro magnetic shield paint / 电磁屏蔽涂料 electro magnetic interference sealed film / 电磁干扰屏蔽膜 electro magnetic compatibility (EMC ) / 电磁兼容性 electrolytic deposition speed / 电解沉积速度 electrolytic degreasing / 电解除油 electrolytic corrosion at edge / 边缘腐蚀 electrolytic cleaning / 电解清洗 electroless nickel phosphorus plating / 化学镀 Ni-P electroless nickel boron plating / 化学镀 Ni-B electroless deposition / 化学镀,化学沉积,无电沉积 electroless copper plating / 化学沉铜 electroforming / 电铸,电形成 electrodeposition / 电镀,电解电镀,电沉积 electrode depoited / 电解箔,电沉积箔 electrochemical impregnation / 电化学浸渍electrosolishing / 电抛光 electro phoretic photo resist / 电泳光致抗蚀剂 electrophoretic effect / 电泳效应 electrophoresis / 电泳 electronic packaging / 电子组装 electronic desorption / 电子碰撞解吸 electron-exchange resin / 电子交换树脂 蚀 electron bearn welding / 电子束焊 electron-bearn photo- resist exposure / 光致抗蚀剂电子束曝光 electron beam lithography / 电子束曝光 electron beam evaporation deposition / 电子束蒸发沉积 electron beam cure paint / 电子束固化涂料 electro migration / 电迁移 electro magnetic shielding / 电磁屏蔽 electro magnetic interference ( EMI ) / 电磁干扰 electro magnetic compatibility (EMC ) / 电磁兼容性 electrolytic deposition / 电解沉积 electrolytic corrosion test at edge / 边缘腐蚀试验 electrolytic corrosion / 电蚀 electroless plating / 无电电镀 electroless nickel/immersion gold (EN/IG) / 化镇浸金 electroless gold plating / 化学镀金 electroless deposition / 化学镀,化学沉积,无电沉积 electroless composite coating / 组合化学镀 electroformed photomask / 电铸光掩模板 electro-deposited photoresist / 电泳沉积光致抗蚀剂 electroconductive paste printed board / 导电胶印制板 electrochemical equivalent / 电化当量electron cyclotron resonance plasma deposition / 电子回旋谐振等离子体 electron cyclotron resonance ion beam etching / 电子回旋谐振离子束刻当前位置:PCB 资源网&PCB 英汉词典&F&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语fusing oil / 热熔液(油) fusing fluid / 热熔液,助熔液 fusible link / 可熔互连 fungus resistanα / 抗霉性,防霉性 functional tester / 功能测试器 fusing flux / 热熔焊剂,热熔助焊剂 fusing / 熔融 fused coating / 熔锡层,热熔涂覆层 functional trimming / 功能调整 functional test / 功能测试 functional film / 功能膜 functional ceramics / 功能陶瓷 FOF / 框架成膜 foam fluxer / 泡沫式助焊剂涂布机 flying Iead / 飞脚 flux sputter test / 焊剂飞溅试验 flux paste / 焊剂膏,膏状焊剂 flmdng / 助焊 flux bubbles / 焊剂气泡 flux activation temperature / 焊剂活化温度 flute / 退屑槽 flush conductor / 齐平导线,嵌入式线路,贴平式导体 flush board / 表面齐平板 fluorescent paint / 荧光涂料 fluorcarbon resin / 碳氟树脂 fluidity / 流动度 flow soldering / 流动焊,流体焊接 float plate assembly / 浮动板部件 floating panel / 浮动插座板 float / 跳线 flip flop / 镜像拼版 flip chip mounting / 倒装片组装 flip chip / 倒装芯片 flexural strength / 抗挠强度,挠曲强度 flexural modulus / 抗弯模量 flexural failure / 挠曲故障,挠曲破坏 flex-rigid printed wiring board / 刚挠性印制线路板 flex-rigid multiplayer printed board / 刚挠性多层板 flexible substrate / 挠性基板 flexible single-sided printed board / 挠性单面印制板 flexible printed wiring board / 挠性印制线路板 flexible multiplayer printed board / 挠性多层印制板 flexible metal mask / 挠性金属模版 flexible double-sided printed board / 挠性双面板 flexible beam lead / 可弯梁式引线 flat pack / 扁平封装 flat conductor / 扁平导线 flat cable / 扁平电缆 flash plating / 闪镀 flash evaporation / 瞬时蒸发 flare / 扇形崩口,锥口孔,锥形孔 flammability / 可燃性 flame retardant / 阻燃剂 flame point / 自燃点functional device / 功能器件 functional array / 功能阵列 focused infrared reflow soldering / 聚焦红外回流焊 FMS / 柔性制造系统 flying / 飞片 flux residue / 残留焊剂,焊剂残余物 flux-Iored solder / 焊剂芯焊料 flux characterization / 焊剂性能鉴定,助焊剂特征评价 flux activity / 焊剂活性,助焊剂活性 flux / 助焊剂,软纤焊剂 flush printed board / 齐平印制板 flush circuit / 平面电路 fluoride etch / 氟化物腐蚀液 fluorescence measuring system / 荧光测试系统 fluidized bed coating / 流化层涂覆法 flumce / 能量密度 flood bar / 覆墨刀 float mounting connector / 浮动安装引脚 floating bushing / 浮动衬套 flitless paste / 无玻璃焊膏 flip chip package ( FCP) / 倒装芯片封装 flip chip bonding ( FCB ) / 倒装片连(焊)接 flexural strength at elevated temperature / 高温中抗挠强度 flexural strength / 抗挠强度,挠曲强度 flexural module / 弯曲模数,抗挠性模数 flexural failure / 挠曲故障,挠曲破坏 flex-rigid printed board / 刚挠性印制板 flex-rigid double-sided printed board / 刚挠性双面板 flexible stencil / 挠性金属漏版 flexible printed wiring board with rigid / 厚铜插脚挠性印制板 flexible printed circuit( FPC) / 挠性印制电路 flexible multiplayer printed board / 挠性多层印制板 flexible manufacture system (FMS) / 柔性制造系统 flexible copper-c1ad dielectric film / 挠性覆铜范绝缘薄膜 flat plug / 平塞,平填 flatness / 平坦度,平面度 flat coat / 全平涂布,板面平铺 flash point / 闪点 flashover / 闪络,飞弧,击穿,跳火 flash / 闪镀 flammability rate / 燃性等级 flame retardant grade (FRG ) / 层压板阻燃等级 flame resistant / 耐燃性 flame-off / 烧断 flair / 第一面外缘变形,刃角变形 fixture testing / 针床测试仪 fixture / 夹具 fixed-effect model / 固定效应模式 fixed connector / 固定引脚 fish eye / 鱼眼 first-stage reduction / 一次缩小,初缩 first search / 首次搜索 first minification / 初缩 first article inspection / 首件鉴定 firing sensitivity / 烧结敏感度,烧成灵敏度 finish or final quality control ( FQC ) / 成品质量管理 finishing / 终饰,终修 finger lead / 指状引脚 finger / 手指 fine pitch QFP / 精细节距四边扁平封装 fine pitch / 精细节距,密脚距,密线距,密垫距 fine line resolution / 细导线分辨力 fine leak / 细泄漏,微细泄漏 final minification / 精缩 final inspection / 完成检验,最终检验 final finishing / 外表处理,终面处理 filter press / 压滤 filter / 过滤器,滤光镜片,滤波器 film preparation technique by wet method / 湿式成膜法 film overlap / 薄膜搭接 film network / 膜网络,薄膜网络 film conductor / 薄膜导线,膜导线,膜导体 film / 底片 filler / 填料 filiform corrosion / 线状腐蚀 fiducial mark / 标准记号,基准标记 fiducial / 基准点 fiber exposure / 玻纤显露,纤维暴露 ferric chloride solution / 三氯化铁蚀刻液 feed rotation rate / 进给转速比 feeder holder / 供料器架 feature window / 功能窗口 feature based mode / 造型特征 feather length / 毛圈长 feasibility / 可行性 FC-PGA / 倒装芯片针栅阵列 FCC system / FCC 系统 fault simulation / 故障模拟flag / 晶片安置区 fixture testing / 针床测试仪 fixer / 定影剂 fixed contact / 固定触点 fissuriug / 裂隙 fish bone chant / 鱼骨图 first stage / 原图 first radius / 第一界限 first bond / 首次接合 first article / 首产品,首件 fire / 烧成,烧结 finish level / 处理剂含量 finished fabric / 织物 finger bonding / 指形焊 fine pitch technology (FPT) / 精细节距技术 fine pitch devices (FPD) / 精细节距器件,细节距器件 fine line technology / 细线工艺 fine line / 细导线 final seal / 最后封装 finalization / 定型 final inspection / 完成检验,最终检验 final finishing / 外表处理,终面处理 filteraid / 助滤剂 film redistribution layers(FRL) / 薄膜再分层技术 film preparation technique by dry method / 干式成膜法 film on frame( FOF) / 框架成膜 film integrated circuit / 薄膜集成电路 film adhesive / 接着膜,黏合膜,黏结膜 fillet / 内圆填角,填锡 fill / 纬线,纬向 filament / 纤丝,单丝 fiducial / 基准点 fiber reinforced plastics(FRP) / 纤维增强塑料 ferricyanide etch / 铁氟化物腐蚀 ferminal hole / 引线孔 feed rate / 进给速率 feeder / 进料器,送料器 feature location record / 特征位置记录 feature / 特征,要素 feasibility analysis / 可行性分析 FCT / 倒装芯片技术 FCP / 倒装芯片封装 FCB / 倒芯片连(焊)接 fault signature / 故障符号 fault resolution / 故障分辨率 fault modes / 故障模式 fault localization / 故障定位 fault dictionary / 故障表 fatigue test / 疲劳试验 fatigue strength / 抗疲劳强度 fatigue life / 疲劳寿命fault plane / 断层面 fault masking / 故障掩蔽 fault isolation / 故障隔离 fault / 缺陷,瑕疵 fatigue-strength reduction factor ( Kf) / 疲劳强度降低系数 fatigue limit / 疲劳极限 far infrared radiation paint / 远红外线放射性涂料当前位置:PCB 资源网&PCB 英汉词典&G&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语gull wing lead / 鸥翼引脚,翼形引线 guarding / 防护 GS / 总规范,一般规格书 group technology / 成组工艺 ground plane / 接地层 gross leak / 大漏 grid spacing / 格距,孔距 grid / 网格 grey fabric / 坯布 green strength / 未固化强度 green products / 绿色产品 grapho-epitaxy / 石墨外延 grading frame / 分级框架 gouge / 凿槽 gold thin film / 金薄膜 golden board / 黄金板 golden assembly / 黄金组装件 glyoxalic acid / 乙硅酸 glue line thickness / 胶层厚度 glowing / 灼热燃烧 glow discharge / 辉光放电 globule test / 球状测试法 glob top / 圆顶封装体 glazed substrate / 釉化基板 glass transition temperature / 玻璃化温度 glass package / 玻壳封装 guide pin / 导销,导针 GSNC / 灰度对比校正 growth manner of thin films / 薄膜生长方式 ground plane clearance / 接地层隔离环 ground / 接地 grommet / 过线套 grid less algorithm / 非网格算法 grey-scale processing / 灰度处理 greige / 生坯布 green sheet / 生片 gray-scale normalized correlation (GSNC) / 灰度对比校正 graphics display / 图形显示 graded wedge / 定级模形图 go/no-go test / 通过/不通过测试 gold paste / 金焊膏 golden board / 黄金板 gold bump / 金凸块 glue method / 黏合法 glowing combustion / 灼热燃烧 glow discharge / 辉光放电 glove box / 手套箱 globule method / 球状法 glaze layer / 玻璃轴层 glaze / 釉菌,粒料 glass transition point / 玻璃转化点 glass-metal seal / 玻璃-金属气密封接 glass mats / 玻璃纤维垫 glass frit / 玻璃料 glass fiber protrusion/gouging / 玻纤突出/钻破 glass epoxy substrate / 环氧玻璃基板 glass bonding / 玻璃焊(连)接 ghost image / 阴影 Gerber data / 格伯数据 generic specification (GS) / 总规范,一般规格书 general elacement equipment / 中速贴装机 gelation particle / 胶化颗粒 gelatin layer / 明胶层 gauge precision / 量具精密度 gate / 门型底板 gas-phase polished slice / 气相抛光片 gas blanket / 气流保护 gang bonding / 群点接合 galvanic displacement / 电镀置换 gage / 量规glassivation / 玻璃钝化 glass frit / 玻璃料 glass fiber / 玻璃纤维 glass cloth / 玻璃纤维布,玻璃布 GHz package / 千兆周封装 Gerber file / 格伯文件 geometric tolerance / 几何尺寸公差 generative process planning / 生产过程策划 gel time / 凝胶时间 gelation / 凝胶作用 gel / 凝胶体 gating unit / 选通单元 gas tight area / 气密区 gas knife cooling / 气刀冷却法 gap cutting / 间隙切割 galvanometer mirror / 电流计式反射镜 gage / 量规当前位置:PCB 资源网&PCB 英汉词典&H&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语hypotheses test / 假设试验 hydrophilic treatment / 亲水性处理 hydrogen embrittlement / 氢脆 hydraulic bulge test / 液压鼓起试验 hybrid mirror / 混合镜 hybrid microcircuit / 混合微电路 hybrid integrated circuit / 混合集成电路 humidity aging / 湿度老化 HTE / 高温延伸性(率) hot plate reflow soldering / 热板回流焊 hot needle t.c.b. / 热压焊 hot-melt adhesive / 热熔黏合剂 hot-gas reflow soldering / 热气回流焊 hot air solder leveling ( HASL) / 热风焊料整平 hot air reflow soldering / 热风回流焊 hot air/IR reflow soldering / 热风红 hydrophobic / 疏水性 hydrophilic / 亲水性 hydrogen-chloride etching / 氯化氢腐蚀 hybrid MSI technology / 混合中规模集成技术 hybrid microwave integrated circuit / 混合微波集成电路 hybrid integration / 混合集成 hybrid circuit / 混合电路 Hull cell / 霍尔槽 hot strength retention / 热态强度保留率 hot oil test / 热油试验 hot melting / 热熔 hot gas soldering / 热风手焊 hot bar soldering / 热把焊接 hot air removal technique( HART) / 热风分离技术 hot air leveling / 热风整平 horizontal laminar flow clean room / 水平平行流洁净室 hook solder terminal / 钩形焊端 holograpgic mask technology / 全息掩模技术 hole void / 孔壁空洞,破洞 hole pull strength / 孔壁抗拉强度 hole preparation / 通孔准备 hole pattern / 孔图 hole filling ink / 堵孔油墨 hole diameter / 孔径 hole counter / 数孔机 hole cleaning / 铣孔,孔清洁处理 hole based positioning / 基准孔定位 holding time / 停置时间 histogram / 矩形图,直方图 hillock formation / 小丘形成 high temperature quenching / 高温淬火 high temperature elongation ( HTE ) / 高温延伸性(率) high-temperature effect / 高温效应 high speed electrodepωition gold technology / 高速镀金技术 high speed chip mounter / 高速贴片机 high-pressure-steam oxidation / 高压蒸汽氧化 high-pressure moulding / 高压压制 high potential test / 高压测试 high heat resistant thermoplastic resin lamination / 耐热可塑性树脂层压 板 high frequency / 高频 high density interconnection / 高密度互连 high density assembly / 高密度组装 hick film circuit / 厚膜电路 hex inverter / 六倒相器 hermetic seal / 气密密封 hermetic chip carrier / 密封芯片载体 hermaphroditic connector / 无极性引脚 heel fillet / 踵角 heel / 接合倾斜 heavy mark / 厚纹路 heavily-doped substrate slice / 重掺杂衬底片 heat-storage cold plate / 储热式冷板 heat sink plane / 散热层 heat shield / 热隔离 heat resistance / 耐热性 heat hysterisis / 热履历 heat deflection temperature under load(HDTUL) / 负载时热挠曲温度 heat column / 热杆hook / 切削刃缘外凸 hole wall copper plating thickness measurement / 孔壁镀铜层厚度测试 hole through connection / 通孔贯穿连接 hole pull out force / 孔拉出力 hole plugging process / 塞孔法 hole location / 孔位 hole discrepancy / 孔位偏差 hole density / 孔密度 hole conditioning / 整孔 hole break out / 破盘(环) ,破孔,孔位破出 hole / 空穴;孔 hit / 击 hipot test / 高压测试 high thermal conduction module / 高热导组件 testing( HHBT) / 高温高湿偏置试验 high temperature elongation electrodeposited copper foil (TH / 高延展性 电解铜箔 high speed placement equipment / 高速贴装机 high speed electrodeposition / 高速电镀 high solid paint / 高固态涂料 high-pressure steam etching / 高压蒸汽腐蚀 high pressure mercury-arc lamp / 高压柔灯 highly reflective coating / 高反射涂覆 high heat resistance thermoplastic resin / 耐热可塑性树脂 high ductile copper foil at high temper-ature / 高温高延展性组 high density fine circuit ( HDFC) / 高密度精细电路 hierarchical design / 层次设计 HHBT / 高温高湿偏置试验 hermetic sealing / 气密封装 hermetic seal / 气密密封 hermaphroditic contact / 单一型接触件 helix angle / 螺旋角 heel crack / 根部裂缝 heavy metal contamination / 重金属污染 heavily-doped substrate slice / 重掺杂衬底片 heat treatment of thin film / 薄膜热处理 heat sink tool / 散热工具 heat sink / 散热片 heat sealing / 热封接 heating plate / 热板 heat distortion point / 热变形温度 heat deflection temperature( HDT) / 热挠曲温度 heat cleaning / 烧洁 heat casting forming / 热压铸成型 HDTUL / 负载时热挠曲温度 HDye / 高密度精细电路 HASL / 热风焊料整平 Haring-Blum cell / 海因槽,哈林槽 hard surface mask / 硬表面掩模 hardness / 硬度 hard gold plating / 镀硬质金 hard anodizing / 硬阳极化 halide content / 卤化物含量 hole preparation /header-terminal capacitance / 底座引线电容 HOT / 热挠曲温度 hay wire / 跨接线,附加连线,临时连线 HART / 热风分离技术 hard wiring / 硬连线 hard soldering / 硬焊 hard gold plating both / 硬质镀金液 hardener / 硬化剂 haloing / 晕圈,自圈,自边 halation / 环晕当前位置:PCB 资源网&PCB 英汉词典&J&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语just in time(JIT) / 即时管理 jumper wire / 跨接线 joint on via / job traveler / 工作流程单 J-Iead / J 形接脚. J 形引线,弯钩形接脚 jet scrubber / 喷砂磨刷 JEDEC / 联合电子元件工程委员会 jump wire / 跳线 jumper / 跨接 jointing / 焊角 job set / 作业设置 JIT / 即时管理 jet forming / 注塑成型当前位置:PCB 资源网&PCB 英汉词典&K&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语kraft paper / 牛皮纸 known good die( KGD) / 确认好裸芯片,合格芯片 known good assembly(KGA) / 确认好的组装件,已知好组装件 Knoop hardness / 努普硬度 kiss pressure / 预压,低压,接触压力 KGB / 测试用标准板,黄金板 key way / known good die( KGD) / 确认好裸芯片,合格芯片 known good board ( KGB) / 测试用标准板,黄金板 knowledge management ( KM ) / 知识管理 KM / 知识管理 KGD / 确认好裸芯片,合格芯片 KGA / 确认好的组装件 keying slot / 键槽,键控槽 keying / 锁定键 key / 键 kerf / 切缝,裁截key board / 键盘板 kevla / 芳纶 Kauri-butanol value / 考主丁醇值,KB 值当前位置:PCB 资源网&PCB 英汉词典&L&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语lyophobic / 疏液性 lumped constant circuit / 集总参数电路 L-shaped cut / L 形切割 LTC / 最小总成本法 LSI testing techniques / 大规模集成电路测试技术 LPC / 每通道导线数 low temperature paste / 低温焊膏 low speed placement equipment / 低速贴片机 low-pressure transfer moulding / 低压传递压制法 low pressure CVD / 低压化学气相沉积 lower electrode / 下电极 lot size / 批量 loop / 回路 location notch / 定位槽口 location accuracy / 定位精度 locating notch / 定位缺口 locating edge / 定位边 located soldering / 局部软接焊 local fiducial / 局部基准点 load reflow soldering / 局部回流焊 LMC / 最小实体状态 LLD / 漏光检查法 liquid photosensitive solder resist / 液体光致阻焊剂 liquid photo resist / 液体光致抗蚀剂 liquid phase sputtering / 液相溅射 liquid crystal light valve / 液晶光阀技术 lip height / 刃缘高度 lines per channel ( LPC ) / 每通道导线数 3 line between grid wiring / 过 3 线 lineage / 位错线 lyophilic / 亲水性胶体 LUC / 最小单位成本 LRU / 可更换线路构件 LSM / 激光剖面显微技术 LSI / 大规模集成电路 low temperature test / 低温试验 low-temperature heat method / 低温加热法 low-profile device / 小断面器件 low-pressure moulding / 低压压制 low melting point solder / 低熔点焊料 low dielectric constant material / 低介质常数材料 loop height / 曲线高度 lock-fit lead / 插扣引线 location hole / 定位孔 locating slot / 定位槽 locating edge marker / 定位边标记 locating dowel / 导柱 local fiducial mark / 局部基准标志 load time / 装载时间 load fiducial / 设置基准标记 LMC / 最小实体状态 lithographic processes / 光刻技术 liquid photosensitive solder resist / 液体光致阻焊剂 liquid photoimageable solder mask ink / 液态光成像阻焊油墨 liquid-metal ion milling / 液态金属离子锐 liquid cooling / 液体冷却 lining / 衬里 line replaceable unit ( LRU ) / 可更换线路构件 2 line between grid wiring / 过 2 线 LlMS / 激光离子化物质频谱 limits of size / 尺寸极限 lightweight package / 轻量封装 light mark / 薄纹路 ligand / 错离子附属体 lift-off method / 分离法,剥离法 lifted land / 孔环浮起,焊盘起翘 LGA / 焊盘网格阵列 leveling agent / 整平剂 leno end out / 散网状端部露出 legend / 文字标记,符号 least total cost (LTC) / 最小总成本法 leak test / 检漏试验 leakage light detection (LLD) / 漏光检查法 lead wire / 引脚金属线 lead-to-pad alignment system / 引脚焊盘对准系统 lead spreader / 引脚整形器 lead pitch / 脚距,中距,跨距 lead out / 输出引线 leadless surfacemount component / 无引脚表面安装元件 leadless inverted device / 无引脚反向(倒置)器件 leadless component / 无引脚元件 leadless ceramic chip carrier ( LCCC ) / 无引脚陶瓷芯片载体 lead identification / 引脚识别 lead-frame ribbon / 引脚框架带 lead extension / 引脚延伸 leaded surfac-mount component / 有引脚表面组装元件 leaded ceramic chip carrier ( LDCC ) / 有引脚陶瓷芯片载体 lead comfiguration / 引脚构型,引脚外形 lead / 引脚,接脚,引线 leaching resistance / 耐纤焊性 LDI / 激光直接成像 LCCC / 无引脚陶瓷芯片载体 LBH / 激光盲孔法 lay up / 叠合,排版 layout plot / 设计原图坐标点 layout drawing / 设计原图 layout / 布图设计 layer to layer registration / 层间重合度,层间对准度 layer out efficiency / 布线效率 layback / 刃角磨损,突刃 laterally-reversed photomask / 横向反转掩模 latent heat / 潜热 latch / 插销 laser via hole / 激光成孔limiting current density / 极限电流密度 light path / 光径 light beam heating method / 光束加热法 lift off technique / 剥离技术 lifted land / 孔环浮起,焊盘起翘 life curve / 寿命曲线 leveling of plating / 电镀整平 leveling action / 整平作用 length wise / 纵向 least unit cost(LUC) / 最小单位成本 least materials condition (LMC) / 最小实体状态 leak current / 渗漏电流 leakage current / 漏电电流 lead type / 保护胶带 lead-suspended chip / 引脚框上芯片 lead projection / 引脚伸出长度 lead pin / 引脚针 lead mounting hole / 引脚安装孔 leadless inverted package / 无引脚倒装封装 leadless device / 无引脚器件 leadless chip carrier / 无引脚芯片载体 lead in / 输入引线 lead free solder / 无铅焊料 lead frame / 引脚架,引线架 leaded surfac-mount component / 有引脚表面组装元件 leaded chip carrier (LCC ) / 有引脚芯片载体 lead coplanarity / 引脚共面性 lead bonding / 引脚键合 lead / 引脚,接脚,引线 leaching / 焊点熔渗,漂出,溶出;浸析,金属化 LDCC / 有引脚陶瓷芯片载体 LCC / 有引脚芯片载体 lay up for lamination / 叠板,预叠 layout table / 绘图台 layout efficiency / 布线完成率 layout design rule / 版图设计规则 layer to layer spacing / 层间距 layer to layer gap / 层间间隙 layer / 层 latitude / 宽容度 latent image / 潜像 latent defect / 潜伏缺陷 laser welding / 激光焊接 laser trimming / 激光微调,激光修整 laser trepanning / 激光环锯成孔法 laser soldering / 激光焊接法,激光纤焊 laser section microscope(LSM) / 激光剖面显微技术 laser reflow soldering / 激光回流焊 laser processing / 激光加工 laser plotter / 激光绘图机 laser photothermal ablation / 光热性烧蚀 laser photochemical ablation / 光化性裂蚀 laser machining / 激光加工法 laser ionization mass spectroscopy(LIMS) / 激光离子化物质频谱 laser holography / 激光全息术 laser heat treatment / 激光热处理 laser grooving / 激光刻槽 laser fluence / 能量密度 laser evaporation and deposition / 激光蒸发与沉积 laser electroplating / 激光电镀 laser direct imaging (LDl) / 激光直接成像 laser deposition / 激光蒸镀 laser-cut mask / 激光刻版掩模 laser coating / 激光镀膜 laser blind hole(LBH) / 激光盲孔法 laser artmaster generator ( LAG) / 激光标准原图生成机 laser absorption / 激光吸收度 laser ablation / 激光烧蚀,激光成孔 large scale integrated circuit (LSI) / 大规模集成电路 larger the better characteristic / 愈大愈好特性,值大性优特性laser structuring / 激光成线术 laser sintered powder deposition / 激光烧结粉末沉积 laser scriber / 激光划片器 laser projection imaging / 激光投影成像 laser plotting / 激光绘图 laser photothermal ablation / 光热性烧蚀 laser photogenerator / 激光绘图机 laser marking / 激光标记 laser layer-pairs / 激光配对层 laser-interferometer camera / 激光干涉仪照相机 laser holographic nondestructive testing / 激光全息无损检验 laser heat affected zone / 激光热感区 laser fracturing / 激光破碎 laser fine processing / 激光微细加工 laser evaporation / 激光蒸发 laser drilling / 激光打孔 laser deposition of conductor pattern / 激光布线 laser cutting / 激光切割 laser conformal mask / 铜窗 laser-chemical vapor deposition / 激光化学气相沉积 laser beam evaporation / 激光束蒸发 laser annealing / 激光退火 laser ablation thresholds / 烧蚀门槛值 large window / 开大窗 large scale hybrid integrated circuit / 大规模混合集成电路 lap shear strength / 搭接剪切强度当前位置:PCB 资源网&PCB 英汉词典&M&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语multiwiring printed board / 多重布线印制板 multiwiring board / 复线板 multiprobing system / 多探针系统 multiple printed panel / 拼板 multiple-lens camera / 多透镜照相机 multiple image production master / 生产原版,照相拼版 multiopening press / 多层压机 multiwiring method / 多重布线法 multiwire board / 多重布线饭 multipoint prober / 多头探针 multiple pattern / 拼图 multiple indications / 重复故障 multiple device / 多重器件 multilevel interconnection / 多层金属化技术 multilevel experiment / 多水平试验 multilaying laminating / 多层压制 multilayer up / 多层叠合 multilayer thick-film interconnection circuit / 多层厚膜互连电路 multilayer printed wiring board for EMl / 抗电磁干扰多层印制板 multilayer printed circuit board assembly / 多层印制板组装 multilayer interconnection / 多层互连 multilayer ceramic substrate / 陶瓷多层基板 multilayer board / 多层印制板 multifilament / 复丝 multi-chip package ( MCP ) / 多芯片封装 multichip module-deposited / 沉积多芯片模块 multichip microcircuit / 多芯片微电路 multiarc ion plating / 多弧离子镀 MTBF / 平均故障间雨时间 MSDS / 材料安全数据卡 MPGA / 微型插针格栅阵列封装 mouse bite / 鼠齿 mounting technology / 安装技术 mounting hole / 组装孔,机装孔 mountability / 安装性,封装位 moulding cycle / 压制周期 mother-daughter board connector / 板间引脚 mother board / 母板,印制底版 molecular beam epitaxial deposition / 分子束外延沉积 molded interconnection device / 模塑互连设备 moisture resistance / 耐湿性 moisture and insulation resistance test / 湿气与绝缘电阻试验 Mohs hardness / 莫氏硬度 modules / 模数 modularization / 模块化 modification / 修改,改质,功能修正 modal form / 模态形式 MMC / 最大材料状态 mixed surface film / 混合表面层 mixed component mounting technology / 混合零件组装技术,混合安装 技术 miter / 倒角 mispicks / 缺纬 mislocated bond / 错位接合 mirroring image / 镜像 mirroring / 对称变换,镜像 mirrored pattern / 镜面图形 minor defect / 轻缺陷multilead transistor case / 多引线晶体管管壳 multilayer wiring technology / 多层布线工艺 multilayer thick-film technology / 多层厚膜工艺 multilayer substrate / 多层基片,低温烧结多层板 multilayer printed wiring board / 多层印制线路板 multilayer plating / 多层电镀 multilayered film substrate ( MFS) / 多层膜基板 multilayer carrier tape / 多层载体带 multifoot LID / 多脚无引线倒装管壳 multielement electroless plating / 化学镀多元合金 multichip module deposition film / 多芯片模块薄膜基板 multichip module / 多芯片模块 multichip integrated circuit / 多片集成电路 MTTF / 故障前平均可使用时间 MST / 最小生成树 MQFP / 金属四边扁平封装 moving probe testing / 移动探针测试仪 mounting torque / 装配组矩 mounting pad / 装配垫片,绝缘衬垫 mounting card / 安装板 mould proof / mould proof 防霉 moulded circuit / 模塑立体电路板 mother-daughter board / 母子板 monofilament / 单丝 molder circuit board / 模塑电路板 moisture resistance test / 温湿度试验 moisture proof conformal coating / 防湿涂层 moisture absorption / 吸湿率,吸水率 modulus of elasticity / 弹性模数,弹性系数 module / 模块 modular array / 微型组件阵列 modelling / 模型法,模式法 MOCVD / 金属有机物化学气相沉积 mixed technology / 混装技术 mixed-effects model / 混合效应模式 mix bond paste / 混合焊膏 misregstration / 失准,对不准,错位 mismatch of coefficient of thermal expansion / 热膨胀系数不匹配 mirror plate for lamination / 分离板,镜面板,隔板 mirroring / 对称变换,镜像 mirroring / 对称变换,镜像 minor weave direction / 次要方向,副编织方向 mini pin grid array (MPGA) / 微型插针格栅阵列封装 minimum spanning tree ( MST) / 最小生成树 minimum annular ring / 孔环下限,最小环宽 miniature plastic leaded chip carrier / 微型塑封有引线芯片载体 migration resistance / 耐迁移性 microwelding / 微焊接 micro via / 微导通孔,微孔 microstrip line / 微条线,微带线 micro section / 显微剖切 micropositioner / 微动台 micromodule pack / 微型组件包装 micromethod / 微量法 microetch / 微蚀 microelectronics / 微电子学 microcrack / 微裂缝 microcomponent / 微型元件 microcircuit module / 微电路组件 microchip circuit / 微片电路 microbond / 微连(焊) ,微接合 metal to ceramic sealing / 金属陶瓷封接 metal stencil / 金属漏版 metal quad flat package (MQFP) / 金属四边扁平封装 metal organic chemical vapor deposition(MOCVD) / 金属有机物化学气 相沉积 metal mask / 金属掩模,模板 metallizing mask / 金属化掩模 metallized fabric / 金属化网布,金属化丝网 metallization / metallization 金属化 metal laminate / 金属层压板 metal-etched photomask / 金属腐蚀光刻掩模 metal core wiring board / 金属芯印制线路板 metal core copper-clad laminate / 金属芯覆铜箔层压板 metal-clad laminate thickness / 覆箔板厚度 metal base printed board / 金属基印制板 metal base copper-clad laminate / 金属基覆铜箔层压板 metal ball grid array (MBGA ) / 金属球栅阵列 mesh count / 网目数 MES / 制造执行系统 mercury vaper lamp / 汞气灯 mercaptan compound / 硫醇化合物 meniscus graph / 弯液面测试装置 meniscometer method / 弯月面计法 meniscograph test / 弧面状沾锡试验 melting viscosity / melting viscosity 熔融黠度minimum oxide thickness / 最小氧化层厚度 miniature rectangular connector / 小型矩形接插件 millipore filter / 微孔滤膜 micro wire board / 复线板,微导线印制板 microwave plasma CVD / 微波等离子体化学气相沉积 microthrowing power / 微观分散能力 micro sectioning / 微切片法,显微剖切 microprobe / 微探头,微探针 microplasma arc welding / 微束等离子弧焊 micromodule / 微模组件 microjoining / 微电子焊接技术 microelectronic technology / 微电子技术 microelectronic packaging / 微电子组装 microcovering power / 微观覆盖能力 microcomparator / 精密测微器 microcircuit / 微电路 micro capsule typeconductive particle / 微细胶囊导电性颗粒 MFS / 多层膜基板 metal substrate / 金属基板 metal-semiconductor contact / 金属半导体接触 metal phototool / 金属底片 metal mask / 金属掩模,模板 metallographic microsection / 金相切片检测 metallized land areas / 金属化连接盘区域 metallization interconnection technology / 金属互连工艺 metallic packaging / 金属圃壳封装 metal in-contact mask / 金属耐接触掩模 metal electrode face component / 圆柱形表面安装元件 metal core printed board / 金属芯印制板 metal core base material / 金属芯基板 metal-clad base material / 覆箔板 metal based substrate / 金属基板 metal ball grid array (MBGA ) / 金属球栅阵列 mesh size / 网目大小,筛孔号 mesa technology / 台式工艺 MES / 制造执行系统 mercury short arc lamp / 柔弧灯 meniscus test / 弯月面试验 meniscus / 弯液面,弯月面 meniscograph test / 弧面状沾锡试验 membrane switch / 薄膜开关 melt / melt 熔融minimum electrical spacing / 下限电性问距,最窄电性间距,最小电气 minimum bending radius / 最小弯曲率 melf part / 圆筒状元件 mechanical wrap / 机械性缠绕 mechanical stress / 机械应力 mechanical polishing / 机械抛光 mechanical parameters / 机械参数 mechanically-masked multilayer growth / 机械掩蔽多层生长 mechanical cleaning / 机械清洗Meissner trap / 迈斯纳冷阱 mechanical stretcher / 机械式张网机 mechanical registration / 机械套准 mechanicai plating / 机械镀 mechanically-polished slice / 机械抛光片 mechanical connection / 机械连接 mechanical chemical cleaning / 化学清洗当前位置:PCB 资源网&PCB 英汉词典&N&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 PCB 行业术语nylon screen / 尼龙网版 numerical control ( NC) / 数字控制 numerical control ( machine) / (机械)数控 null hypothesis / 原假设 nozzle / 吸嘴 notching / 切口加工 normal solution / 当量溶液 normalizing / 较正,使正常化 normal expansion / 正常绷网 normal concentration / 标准浓度,当量浓度 non-wetting / 不沾锡.不润湿 non-recurring engineering cost / 非经常性工程成本 non-press lamination process / 非层压法 non-functional terminal area / 非功能端接区 non-functional land / 非功能焊盘,非功能连接盘 non-flow / 非流性 non-flammable / 非燃性 non-conformity / 不合格 non-circular pad / 非圆形盘 non-circular / 异形孔 nominal value / 标称值 nomenclature / 标示文字符号,命名法 nodule / 结瘤 node / 节点 no-clean soldering / 免清洗焊接 noble metal paste / 贵金属印膏 nylon / 耐龙,尼龙 numerical control (math.) / (数学)数控 numerical control data / 数控数据 nucleation / 成核,核化 novolac / 酚醛树脂 normal value / 标称值 normal pressure CVD / 常压化学气相沉积 normality / 当量浓度 normal distribution / 常态分配,常态分布 non-woven fabric / 非织布 non-through hole / 未镀通孔 non-profile / 无压痕 non-plated through hole printed wiring board / 非镀通孔印制线路板 nonfunctional pad / 非功能连接盘 non-functional interfacial connection / 非功能表面间连接 non-f1on cleaning / 无氟清洗 non-contact testing / 非接触性电性测试 non-conforming item / 不合格品 non-circular land / 非圆形孔环焊盘,异形焊盘 non-activated flux / 非活性焊剂 nominal-is-best characteristic / 标称最佳特性 noise budget / 杂音上限 node yarn cut / 正向绷网 no-clean solder paste / 免清洗焊膏 no-clean / 免清洗 noble elements / 贵金属元素 nitrogen atmosphere furnace / 氮气炉 nickel and gold plating / 镀镶金 network / 网络;网状元件 net / 网络,线网 neighborhood processing / 邻域作业 negative photomask / 负光掩模 negative etchback / 反回蚀,负凹蚀 negativ-acting resist / 负性作用阻 needle dispense / 针管注射法 neckbreak / 断颈 NC / 数控 natural-convection-cooled device / 自然对流冷却器件 naked chip / 未封装芯片 nail head bond / 钉头式接合 nail / 钉nick of conductor / 导体缺口 nick / 缺口 net list / 网表 NEMA standard / NEMA 标准 negative stencil / 负性感光版膜 negative pattern / 负图形,负像图形 negative electrode / 负极 negative / 负片;钻尖第一面外缘变窄 necked width / 瓶颈宽度 near IR / 近红外线 natural oil cooling / 自然油冷却 nanocomposites / 纳米复合材料 nail head bonding / 钉头焊 nail head / 钉头当前位置:PCB 资源网&PCB 英汉词典&O&A B C D E F G H I J K L M N O P Q R S T U V W X Y Z PCB 术语搜索内容搜 索中英文 中英文 PCB 行业术语oxygen inhibitor / 氧气抑制现象 oxide masking / 氧化物掩蔽 oxide-etch-rate modification / 氧化物腐蚀速率改变 oxide-edge profile / 氧化物边界剖面图 oxidation / 氧化 overstress testing / 过应力试验 overpotential / 过电位,过电压,超电势 overlay contact / 覆盖接触 overlap / 钻尖点分离 overhang / 镀层突沿 overglaze / 面袖 overevaporated contact / 延伸蒸发接触 over etching / 过腐蚀 overall length / 全长 output vector / 输出矢量 out-of-contact mask / 非接触掩蔽 out growth test method / 焊料扩展试 outgoing quality control (OQC) / 出货质量管理 out gassing / 出气,吹气 oxide transfer / 氧化物转移 oxide-masked multHayer growth / 氧化物掩蔽多层生长 oxide etch / 氧化物蚀刻剂 oxide-buffered glass-metal seal / 氧化物过渡玻璃-金属封装 oxidant / 氧化剂 over shoot / 面涂层 overplate / 外(表面)镀层 overlap / 钻尖点分离 overheated soldered connection / 过热焊点 overglaze paste / 面轴焊膏 overflow / 溢流 overevaporated contact / 延伸蒸发接触 overcoat glass / 涂覆层玻璃 OVCC / 通孔芯片载体 output vector / 输出矢量 outline / 外形 outgrowth / 悬出,横出,镀层增宽 out going degree / 入度 outer lead bond(OLB) / 外引脚结合 outer lead / 外引线 OSP / 有机保焊剂 orthogonal-array experiment / 正交试验 original production master / 制造用原版 organic vehicle / 有机媒介物 organic solderability preservatives(OSP) / 有机保焊剂,有机可焊性保护 剂 organic gold paste / 有机金膏 organic binder / 有机黏结剂 order with respect to concentration / 浓度相关级 OQC / 出货质量管理 optimizing sputtering / 择优溅射 optic-electronic printed wiring board / 光电印制线路板 optical registration / 光学对准 optical projection printing / 光学投影曝光 optical instrument / 光学仪器 optical fiber / 光纤 optical correction system / 光学校准系统 optical coating / 光学膜层 operational definition / 操作规定 operating pressure / 工作气压 o}

我要回帖

更多关于 pcb菲林是什么意思 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信