七段数码管静态显示程序中8255的哪个口做段码驱动

七段数码管_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
七段数码管
上传于|0|0|暂无简介
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩8页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢您还可以使用以下方式登录
当前位置:&>&&>& > 8255可编程并行接口(基本输入输出、动静态七段数码管、竞赛抢答器)微机原理实验报告
可编程并行接口可编程并行接口(基本输入输出、动静态七段数码管、竞赛抢答器)微机原理实验报告
微机实验报告书 学
号:XXXXX姓名:XXXXX 班级:XXXXX同组名单:XXXXXXXXX实验日期:实验题目:8255可编程并行接口实验目标:1、掌握8255方式0的工作原理及使用方法。2、进一步掌握中断处理程序的编写。3、掌握数码管显示数字的基本原理。4、了解微机化竞赛抢答器的基本原理。实验步骤:实验一、 8255A的基本输入输出图1.8255A的基本输入输出接线图实验步骤如下:(1)实验电路如图1,8255A的C口接逻辑电平开关K0~K7,A口接LED显示电路L0~L7。(2)编程从8255A的C口输入数据,再从A口输出。 实验二、七段数码管 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆 图2. 七段数码管接线图实验步骤如下:(1)静态显示:按图2(a)连接好电路,将8255A的A口PA0~PA6分别与七段数码管的段码驱动输入端a~g相连,位码驱动输入端S1接+5V(选中),S0、dP接地(关闭)。编程从键盘输入一位十进制数字(0~9),在七段数码管上显示出来。(2)动态显示:按图2(b)连接好电路,七段数码管段码连接不变,位码驱动输入端S1接+5V(选中),S0接8255A的C口的PC1。编程在两个数码管上显示“56”。 实验三、竞赛抢答器图3. 竞赛抢答器电路图 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆实验步骤如下:图3位竞赛抢答器(模拟)的原理图,逻辑开关K0~K7代表竞赛抢答按钮0~7号,当某个逻辑电平开关置“1”时,相当于某组抢答按钮按下。在七段数码管上将其组号(0~7)显示出来。 程序框图:实验一: 实验二: 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆实验三: 程序清单:;*************************; ;*
8255A的基本输入输出
*; ;*************************; IOPORT EQUIO8255A EQUIO8255B EQUIO8255C EQUIO8255K EQU CODE START:入 INPUT: 0E400H-280H IOPORT+288H IOPORT+289H IOPORT+28AH IOPORT+28BH SEGMENT ASSUME MOV
MOV OUT MOV IN
CS:CODE DX,IO8255K对8255进行设定,A输出,C输AL,B DX,AL DX,IO8255C从C输入 AL,DX DX,IO8255A从A输出 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆 OUT MOV MOV INT
DX,AL DL,0FFH判断是否有按键 AH,06H 21H INPUT若无,则继续C输入,A输出 AH,4CH否则,返回DOS 21H START CODE ;************************************;;*键盘输入数据(0-9)控制LED数码管显示*;;************************************;DATAIOPORTIO8255AIO8255B
SEGMENT EQU
0E400H-280H EQU
IOPORT+288H EQU
IOPORT+289HIO8255C EQU
IOPORT+28AHIO8255K
IOPORT+28BHLED
3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FHMESG1
0DH,0AH,'Input a num (0--9),other key is exit:',0DH,0AH,'$' DATACODE
ENDS SEGMENTASSUME CS:CODE,DS:DATASTART:
AX,DATA MOV
DX,IO8255K ;使8255的A口为输出方式 MOV
DX,OFFSET MESG1显示提示信息MOV
AH,01从键盘接收字符INT
AL,'0'是否小于0JL
EXIT若是则退出CMP
AL,'9'是否大于9JG
EXIT若是则退出SUB
AL,30H将所得字符的ASCII码减30HMOV
BX,OFFSET LEDBX为数码表的起始地址
XLAT求出相应的段码MOV
DX,IO8255A从8255的A口输出OUT
SSS转SSS百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆EXIT:
AH,4CH返回
START ;******************************; ;*LED数码管实验动态显示“56”*; ;******************************; DATAIOPORTIO8255AIO8255BIO8255CIO8255KLEDBUFFER1BZDATACODEASSUMESTART:MOVMOVMOVOUTMOVLOOP2:LLL:PUSHDECADDMOVPOPMOVMOVOUTMOVMOVADDMOVMOVOUTMOVMOV
SEGMENT EQU
0E400H-280H EQU
IOPORT+288H EQU
IOPORT+289H EQU
IOPORT+28AH EQU
IOPORT+28BH DB
3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH
6,5存放要显示的个位和十位 DW
?位码 ENDS SEGMENT CS:CODE,DS:DATA MOV
AX,DATA将8255设为A口输出 DX,AL设di为显示缓冲区 MOV
BYTE PTR BZ,BH DI DIbl为要显示的数 DI DX,AL置led数码表偏移地址为SI求出对应的led数码自8255A的口输出 DX,AL使相应的数码管亮 ;段码
DX,IO8255K
DI,OFFSET BUFFER1
DX,IO8255C
SI,OFFSET LED
AL,BYTE PTR [SI]
DX,IO8255A
AL,BYTE PTR BZ
DX,IO8255C百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆OUT
CX,3000DELAY:
DELAY延时 MOV
BH,BYTE PTR BZ SHR
DX,0FFH MOV
LOOP2有键按下则退出 MOV
DX,IO8255C MOV
AL,0关掉数码管显示 OUT
AH,4CH返回INT
START;***************;;* 模拟抢答器
*;;***************;DATA
SEGMENTIOPORT
0E400H-280HIO8255A
IOPORT+288HIO8255B
IOPORT+289HIO8255C
IOPORT+28AHIO8255K
IOPORT+28BHLED
3FH,06H,5BH,4FH,66H,6DH,7DH,07H数码表 DATACODE
ENDS SEGMENTASSUME
CS:CODE,DS:DATASTART:
AX,DATA MOV
DX,IO8255K设8255为A口输出,C口输入 MOV
BX,OFFSET LED使BX指向段码管首址SSS:
DX,IO8255CIN
AL,DX从8255的C口输入数据OR
AL,AL比较是否为0 JE
SSS若为0,则表明无键按下,转sss MOV
CL,0FFHcl作计数器,初值为-1 INC
AL,1百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆 JNC
AL,CL XLAT MOV
DX,IO8255A OUT
DL,7响铃 ASCII码为07 MOV
AL,20H是否为空格 JNE
EEE不是,转eee MOV
AL,0是,关灭灯 MOV
DX,IO8255AOUT
AH,4CH返回INT
START 运行结果:实验一:当逻辑开关K0~K7中的一个或几个打开时,对应的LED灯就会亮起来,即利用8255A实现了基本的输入输出控制。实验二:(1)静态显示:当从键盘输入0~9任一数字时,七段数码管上便会显示相应的数字,按下其他按键则退出程序。(2)动态显示:运行程序后,七段数码管上两个数码管显示“56”,有按键按下时,则退出程序。实验三:逻辑开关K0~K7中某个置“1”时,则七段数码管上将其数字号显示出来,表示该组抢答成功。按下任意键则退出程序。 小结(体会和收获):通过本次实验,我进一步掌握了8255A的原理以及其应用方法,学习了七段数码管显示数字的原理,以及竞赛抢答器的原理。另外,通过本次实验我知道:做实验时讲究细心、耐心,遇到问题时不要慌百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆张,在理解理论的基础上对故障进行分析并逐步解决,才能获得最后的实验成功。 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网,您的在线图书馆
欢迎转载:
推荐:    用8255控制七段数码管
用5254定时器控制时间
可通过开关控制暂停计时、继续计时或时间清零
微机原理课程设计 本人急用在线等 必有重谢
你知道的,把数据段中的端口地址改为你的计算机可识别的8255A的端口地址;从相关信息接收数字,在七段数码管上显示datasegmentioportequhio8255aequioport+288hio8255bequioport+28bhleddb3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fhmesg1db0dh,0ah,'Inputanum(0--9h):',0dh,0ah,'$'dataendscodesegmen ,ds: ,datamovds,axmovdx,io8255b;使8255的A口为输出方式movax,h,A组方式0,A口输出,B组方式0,B口输出,C口输出outdx, ,offsetmesg1;显示提示信息movah,09hint21hmovah,01;从键盘接收字符int21hcmpal,'0';是否小于0若是则退出cmpal,'9';是否大于9若是则退出andal,0将所得字符的A...
你知道的,把数据段中的端口地址改为你的计算机可识别的8255A的端口地址;从相关信息接收数字,在七段数码管上显示datasegmentioportequhio8255aequioport+288hio8255bequioport+28bhleddb3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fhmesg1db0dh,0ah,'Inputanum(0--9h):',0dh,0ah,'$'dataendscodesegmen ,ds: ,datamovds,axmovdx,io8255b;使8255的A口为输出方式movax,h,A组方式0,A口输出,B组方式0,B口输出,C口输出outdx, ,offsetmesg1;显示提示信息movah,09hint21hmovah,01;从键盘接收字符int21hcmpal,'0';是否小于0若是则退出cmpal,'9';是否大于9若是则退出andal,0将所得字符的ASCII码减30Hmovbx,bx为数码表的起始地址求出相应的段码movdx,io8255a;从8255的A口输出outdx,转 ,4返回DOSint21hcodeendsendstart
共阳极,就是把数码管的7个LED的正极都接到一起,
共阴极,就是把数码管的7个LED的负极都接到一起,
它们的用途就看驱动电路来选择拉。正输出的就选共阴极
...
答:共阴的吧,接一根就可以了吧!
1 大致分为3 个模块,显示函数,中断,主函数,流程如下:首先进入主函数,打开计数器开关和外部中断开关,然后进入中断服务函数,开始计数.
2,在while()...
这个你就要检查一下排水阀是不是有问题了,还有排水控制电路,最好还是让修理工来检修一下。
大家还关注
确定举报此问题
举报原因(必选):
广告或垃圾信息
激进时政或意识形态话题
不雅词句或人身攻击
侵犯他人隐私
其它违法和不良信息
报告,这不是个问题
报告原因(必选):
这不是个问题
这个问题分类似乎错了
这个不是我熟悉的地区七段数码管实验
七段数码管实验
发布: | 作者: | 来源:
| 查看:2598次 | 用户关注:
七段数码管实验一)实验目的掌握数码管显示数字的原理。二)实验内容1. 静态显示。将8255的A口PA0~PA6分别与七段数码管的段码驱动输入端a~g相连(方式0),位码驱动输入端S1接+5V(选中),S0、dp接地(关闭)。编程从PC键盘输入一位十进制数字0~9,在七段数码管上显示出来。2. 动态显示。七段数码管段码连接不变,位码驱动输入端S1、S0接8255 C口的PC1、PC0。编程在两个数码管上循环显示00-99。3. 中断显式
七段数码管实验一)实验目的掌握数码管显示数字的原理。二)实验内容1. 静态显示。将8255的A口PA0~PA6分别与七段数码管的段码驱动输入端a~g相连(方式0),位码驱动输入端S1接+5V(选中),S0、dp接地(关闭)。编程从PC键盘输入一位十进制数字0~9,在七段数码管上显示出来。2. 动态显示。七段数码管段码连接不变,位码驱动输入端S1、S0接8255 C口的PC1、PC0。编程在两个数码管上循环显示00-99。3. 中断显式,将8255的A口设置成方式1输出,连接七段数码管的段码驱动输入端a~g,数码管位码驱动输入端S1接+5V(选中),S0、dp接地(关闭)。8255的C口下半部分设置为输入方式,PC0、PC1、PC2分别接逻辑电平开关K0~K2,单脉冲接到8255的PC6上,通过8255的PC3发中断,中断处理程序中读取PC0~PC2,根据输入的值,在数码管中输出0~7。(选作)三)编程提示1. 实验台上的七段数码管为共阴型,段码采用同相驱动,输入端加高电平,选中的数码管亮,位码加反相驱动器,位码输入端高电平选中,S1=1选中十位,S0=1选中个位。2. 七段数码管的字型代码表如下表:
(责任编辑:admin)
本页面信息由华强电子网用户提供,如果涉嫌侵权,请与我们客服联系,我们核实后将及时处理。
电路图分类
&&& 目前,处理器性能的主要衡量指标是时钟君,已阅读到文档的结尾了呢~~
实验2:8255七段数码管静动态显示实验,二,出现,七段数码管,动态显示,数码管,8255,数码管显示,显示,2静动态
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
实验2:8255七段数码管静动态显示
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口}

我要回帖

更多关于 数码管静态显示电路 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信