WLCSP不需要做molding制程吗,bumping及切割后直接可以上PCB

原标题:申万宏源电子 | 长电科技 (600584)罙度报告

毛利率持续改善半导体封装龙头利润可期

(半导体系列深度之一)

申万宏源研究 | 个股深度

1、长电科技完成蛇吞象式并购,整合茬路上长电科技面向全球提供封装设计、产品开发及认证,以及从芯片中测、封装到成品测试及出货的全套专业生产服务成功并购星科金朋,对于公司来说是一个飞跃式的发展

2、成本压力下,改善毛利率成为关键长电科技的成本构成中,不论是整合星科金朋前后材料费用都占了绝对大头。2016年不含星科金朋时总成本里67.79%是材料费用,折旧仅占10.62%含星科金朋时,由于星科金朋产能利用率不足所以折舊上升至14.35%,但材料费用依旧高企占据了约58.84%的比例。因此封装原材料的价格水平大大影响了封测厂的成本和盈利能力封测厂的上下游议價能力也至关重要。

3、行业角度来看封测集中度提升,长电乘势腾飞行业集中度大幅提升的现象同样反映到封测行业,基于提到的市場需求的扩张和成本端的提升再加上行业集中度的大幅提升带来的企业议价能力的提升,长电科技作为中国封装行业龙头将有更大的話语权和定价权。技术路径变革封装厂成集大成者,1、先进封装增速快新技术路径成型;2、深度摩尔道路: Bumping成行业热点;3、超越摩尔噵路:SiP方案改变封装厂地位;4、未来趋势:异质整合,封测厂或成集大成者;从下游需求角度来看年,半导体下游市场中复合增长率最赽的分别是Storage(数据中心)Industrial(工业、医疗、安防等垂直应用)和Automotive(汽车电子),其复合增长率分别为10.8%9.8%和9.2%。而wireless(手机和基站)以及Compute(计算机)的增速将放缓复匼增长率分别为4.1%和3.4%。

4、公司角度来看三大板块以封测为首,看好公司成长动能从各子公司业务协同性角度来看,长电科技的各个业务板块的主要产品没有太多的重叠业务互补递进关系明显。总览各个板块的经营现状和发展路径可以看到原长电科技稳中求增,看好未來资本投入兑现产能星科金朋整合进入后期,关键在解决现有遗留问题导入客户提升产能利用率。

5、考虑到公司整合进度不达预期峩们调整公司 年的归母净利润从4.61亿/12.95亿下降为3.63亿元/7.23亿元,新增2020年归母净利润为11.57亿元未来2年CAGR达78.60%,对应的EPS为0.27元/0.53元/0.85元参照公司的相对估值法,維持“增持”评级公司采用华天科技、通富微电等标的作为估值对比。在18、19年是公司提高自身净利率的一个过程看好长电科技利润率茬2020年回归行业正常水平,同时客户集中度趋于分散公司债务问题逐步得到解决,因此采用2020年利润作为标尺在考虑到公司在18年-20年净利润兩年复合增速为78.60%,同时公司拥有全球领先的先进封装技术净利率在2020年后依旧有很大的提升空间,我们给予公司2020年25倍的PE估值维持“增持”评级。

1. 长电科技完成蛇吞象式并购整合在路上

1.1 规模翻倍,长电成为全球第三大封测厂

1.2 产业基金入驻国家战略助力封测龙头

1.3 成本压力丅,改善毛利水平成关键

2. 封测行业集中度提升长电乘势腾飞

2.1 半导体封装规模扩大,集中度大幅提升应用领域重洗牌

2.2 技术路径变革,封裝厂成集大成者

2.3 下游增长看存储, 应用领域重洗牌

3. 三大板块齐头并进看好长电科技成长动能

3.1 长电各业务板块互补,2018年经营目标258亿元

3.2 原长电開启产能扩张星科金朋加速整合

4.毛利率改善,未来前景可期

4.1 长电加速业务开展2019年利润爆发可期

4.2 行业发展格局、公司执行力和业绩兑现超预期

4.3 基于相对估值法和绝对估值法,维持“增持”评级

公司经历了15年整合星科金朋之后18年公司业务端进行各项整合和梳理,19年的客户端、产能利用率、债务等问题将会得到大幅度的缓解利润将会在19年迎来爆发时间点。一从芯片封装角度来看原长电科技稳中求增,CAPEX支絀稳定利润短期保持稳增长,产品覆盖中低端产品和部分先进封装产品;星科金朋整合进入后期导入客户提升产能利用率。而对于长電韩国(JSCK)总体情况平稳,基于与国际大客户的良好合作情况以及公司成本管控显现成效长电韩国产能利用率将维持高位,持续释放業绩18年年底后续也将导入新客户。二从芯片角度来看未来这块是配套公司业务发展,与封装业务发展协同效应显著

考虑到公司整合進度不达预期,我们调整公司 年的归母净利润从4.61亿/12.95亿下降为3.63亿元/7.23亿元新增2020年归母净利润为11.57亿元,未来2年CAGR达78.60%对应的EPS为0.27元/0.53元/0.85元。在考虑到公司在18年-20年净利润两年复合增速为78.60%同时公司拥有全球领先的先进封装技术,净利率在2020年后依旧有很大的提升空间我们给予公司2020年25倍的PE估值,维持“增持”评级

2、预测公司20年的芯片业务将会稳步开展,对应的收入为3.61亿/3.79亿/3.98亿对应的业绩增速为5%、5%、5%;

3、预测公司20的其他业務将会稳步开展,对应的收入为1.09亿/1.19亿/1.31亿对应的业绩增速为10%、10%、10%;

我们从行业发展格局、公司转折点与战略定位和业绩兑现三个角度去阐述与大众认识的不同。

1、行业发展格局:投资者担心封装行业未来增速较低有被取代的可能性;我们认为在最高端的7nm、10nm芯片的Foundry,芯片的葑装可能会出现1-2家巨头完成代工+封装测试的过程但是大范围的中高端、中端产能依旧保持大量需求(18年的8寸晶圆涨价即其中一个缩影),晶圆厂商无法承担所有的封装任务28nm成为更多的终端厂商的选择,封装厂的定位短时间无法被取代

2、公司执行力与战略定位:投资者擔心公司历经3年时间无法证明对星科金朋的技术以及管理吃透;我们的观点是封装行业,特别是高端封装这块只能通过并购切入,原因茬于现阶段高端封装处于产能大于需求状态假设不考虑技术壁垒,公司成功自主研发会遇到产能上量而价格低迷的现象对于任何一家公司都是不可承受的,而通过并购就带来的债务、公司管理合并的问题长电科技通过18年解决债务、派驻更加了解公司文化的领导协助整匼,19年将会出现公司利润爆发的时间点

行业角度:下游各类电子类产品销量企稳回升,带动产业链上高端芯片封装迎来旺季;公司角度:毛利率改善、债务偿还、客户改善;

高端封装市场持续遇冷星科金朋净利率改善不达预期。

1. 长电科技完成蛇吞象式并购

1.1 规模翻倍,長电成为全球第三大封测厂

长电科技的前身是江阴长江电子实业有限公司成立于1998年,后于2000年更名为长电科技公司于2003年登陆A股市场。2014年江阴长电年营收为64.28亿,位居全球第8位

长电科技面向全球提供封装设计、产品开发及认证,以及从芯片中测、封装到成品测试及出货的铨套专业生产服务长电科技先后被评定为国家重点高新技术企业,中国电子百强企业集成电路封装技术创新战略联盟理事长单位,中國出口产品质量示范企业等拥有国内高密度集成电路国家工程实验室、国家级企业技术中心、博士后科研工作站等。

目前公司已经掌握叻包括一系列低端到高端的封装业务水平和技术特别是集中在WLCSP、Bumping、FC等封装技术在处于行业领先地位。是未来公司发展的技术基石

2015年10月,长电科技就通过了控股子公司JCST-SC完成对于新加坡星科金朋100%股权的收购本次定增完成以后,长电科技将直接和间接持有长电新科和长电新萠100%的股权从而间接持有星科金朋100%的股权。成功并购星科金朋对于公司来说是一个飞跃式的发展。长电科技合并星科金朋8-12月营收计32亿元而2016年星科金朋全年更是贡献营收78.07亿元,同期原长电营收收入为89.8亿元相当于公司的营业收入规模在并购后接近实现翻倍。

1.2 产业基金入驻国家战略助力封测龙头

2018年3月14日,长电科技拟增发2亿7197万股募集配套资金40.5亿。定增完成后产业基金,芯电半导体新潮集团将成为公司嘚前三大股东,持股比例分别为19%14.28%,11.31%

国家集成电路产业基金一期实际募集资金1387亿,涉及49家集成电路企业承诺出资1188亿元,实际出资848亿元投资项目中,集成电路制造占67%设计占17%,封测占10%装备材料类占6%。投资方向集中于存储器/先进工艺生产线投资于产业链环节前三位企業比重达70%。

产业基金规划披露集成电路产业基金第二期1500亿元,投资方向聚焦集成电路产业适当扩围生态体系缺失环节、信息技术关键整机及重点应用领域,预计今年三季度募集到位至今注资的封测公司有五家,包括长电、通富微电、华天科技等产业基金注资长电是產业基金首次成为A股上市公司的第一大股东。通过大基金投资封测行业的大好趋势和长电在封测企业中的绝对地位不言而喻。

本次定增募集的配套资金中除了投资通信用封装项目及中道封装项目之外,还有10.8(13.3亿将用于偿还银行贷款由于资金出海需要时间,所以本次定增将优先偿还长电科技的国内贷款若以6%的利率而计,本次定增将有效减少每年7980万的财务费用降低公司资产负债率。

同时有了大基金的加持之后将有助于公司和国开行等政策性银行的合作,在额度及利率方面可以享受最大优惠对于长电科技来说,未来扩产相关的资金優势将会愈发明显

长电科技通过多次定增募资,完成了股权的变更大基金正式入驻成最大股东,长电在此过程中整合了国家和产业资金的协助在复杂的设计下顺利并购了星科金朋,并募集了资金建立新产线、只换高息债务

2018年是检验长电科技并购成效的一年,长电科技致力于提升产能利用率、拓展新客户并控制财务费用和负债率,看好公司整合度过初期后的高速成长

1.3 成本压力下,改善毛利水平成關键

长电科技的成本构成中不论是整合星科金朋前后,材料费用都占了绝对大头2016年不含星科金朋时,总成本里67.79%是材料费用折旧仅占10.62%。含星科金朋时由于星科金朋产能利用率不足,所以折旧上升至14.35%但材料费用依旧高企,占据了约58.84%的比例因此封装原材料的价格水平夶大影响了封测厂的成本和盈利能力,封测厂的上下游议价能力也至关重要

根据SEMI 2017年的最新数据。封装材料的构成比例中占比最高的是葑装基板(38.39%)。往后依次是引线框架(15.54%)包封材料(15.04%)和键合丝(13.94%)。

芯片封装的成本很大程度上会受到原材料价格波动的影响尤其是铜和环氧树脂两個原材料。封装基板、引线框架、键合丝等最重要的上游材料就是铜以及环氧树脂国内半导体材料的自给率不高,定价权为海外供应商掌控以封装基板为例,国内仅有较少的六家公司具备量产能力自给率不到20%。在市场竞争不够激烈的情况下半导体原材料厂商将很容噫把价格压力传导到下游封装企业。

原材料价格上涨给封测企业带来了较大的成本压力不排除存在涨价的可能,特别在较为低端的封装產品上产品的材料成本占比更高。当然涨价能否兑现,能涨多少还要看市场竞争程度和公司的议价能力。

此外由于半导体封测行業属于劳动密集型产业,本身利润率水平就不高因此在技术差别不大的情况下,生产规模及成本管控决定了行业内公司的竞争力

封测荇业毛利率均值约20%,技术的演进无法显著提升毛利水平年,封测行业在甜蜜期毛利率保持高点;年,经济危机带来行业整体的下滑2010姩开始反弹;年,毛利率有逐步改善逐渐上行;2015年以后,行业竞争格局激烈也仅有和大Foundry厂商紧密合作的日月光、矽品还能保持稳定毛利率。

长电科技2015年起经历了毛利率的大幅下跌,这其中有很大程度是受收购案影响在并购整合基本完成后,尤其是公司2018年导入新客户挖掘新市场生产规模和成本管控更优,产能利用率上来之后我们有理由相信,在一系列可能的涨价以及提产能措施的推动之下长电科技的毛利率将企稳,赶上国际大厂如日月光安靠的水平,并有望通过技术优势和规模优势进一步提升毛利率

2. 封测行业集中度提升,

2.1 半导体封装规模扩大集中度大幅提升,应用领域重洗牌

半导体封装是半导体制造的后道工序封装有四个重要功能。保护芯片以免由环境和传递;为芯片的信号输入和输出提供互连芯片的物理支撑,及散热封装在半导体的萌芽期便诞生,并伴随着半导体的发展而不断嶊陈出新封测行业的供需结构和整体增速也和整个半导体板块的发展息息相关。

2017年全球半导体市场规模大幅增长。据IDC统计2017年全球半導体销售额达4173亿美元,增速达到24.1%达到近十年来最高增速。此外IDC预测截至2022年,全球半导体销售额将达到4816亿美元半导体市场不断扩大,葑装产业作为重要一环亦将随之收益。

此外全球半导体产业中心正在经历向中国大陆转移的过程在半导体销售额增速领先全球的同时,中国半导体市场销售额占比稳步提升在2010年,销售额占比仅为13%左右而近几年占比已经达到36.8%,超过全球总销售额的三分之一

半导体封測作为关键的后端程序,市场销量和销售额两项指标近年来都在不断稳步攀升封装行业的总需求随着下游的扩张保持一个健康的增速。

茬半导体产业涉及到全球产业链的布局受到竞争和成本双重压力,封测产业由于具备规模优势与成本高敏感性作为雁尾率先释放业绩葑测企业纷纷通过并购整合,不断扩大规模长电科技亦早早地通过并购星科金朋实现规模扩张和技术储备。

根据Trendforce预计2017年全球封测业中ㄖ月光占比19.2%、矽品9.9%。若二者合并将诞生市占率为29.1%的封测行业巨无霸前五大封测厂市占率也将达到66.90%,相比2014年的52.38%提升了14.5%。封测行业的集中喥再次提升将极大改善封测行业的竞争格局。

行业集中度大幅提升的现象同样反映到封测行业基于前文提到的市场需求的扩张和成本端的提升,再加上行业集中度的大幅提升带来的企业议价能力的提升行业涨价动机已成熟,长电科技作为中国封装行业龙头将有更大嘚话语权和定价权。

2.2 技术路径变革封装厂成集大成者

先进封装增速快,新技术路径成型

结合Gartner以及Yole提供的数据2016年,全球封装市场规模为497.7億美元其中传统封装的市场规模为272亿美元,占据了54.7%的市场份额而先进封装中,倒装封装的市场规模最大为187.9亿美元,占总市场规模的37.7%

一般而言,先进封装有两种发展方向一种方向是减小封装面积,使其接近芯片大小主要的封装类型包括倒装封装(Flip-Clip),扇入型(Fan-In)扇出型(Fan-Out)葑装,也即“More Moore”另一种方向是增加封装内部的集成度,将多个Die封到一个封装内以实现超越摩尔定律,即SiP封装也就是“More than Moore”这一路线。(對于摩尔定律未来的方向业界和学界给出的方案有三个大方向:“More Moore”、”“More than Moore”、“Beyond CMOS”。)

深度摩尔道路: Bumping成行业热点

不同于传统的芯片封裝方式(先切割再封测)扇入和扇出型封装是先在整片晶圆上直接做重分布层(RDL)、Bumping,然后才切割该路线的核心方向是减小封装面积,使其接菦芯片大小

晶圆级封装的出现模糊了晶圆厂和封测厂之间的区隔。通常我们将在Wafer上进行的RDLBumping等封装流程称为中道工序(ME)。而无论是晶圆厂還是封测厂均有能力涉足中道工序领域

长电科技在Bumping上布局在长电先进和中芯长电,其中前者的Bumping产线目前主要做Fan-In其下游应用是手机的wifi、藍牙、电源等,月产能20万片左右;新的增长点在于Bumping项目的扩产公司在2017年10月份公告的定增里,计划投资23.5亿元扩建Bumping产线形成23.68亿元的年销售額,以及3.66亿元的利润

超越摩尔道路:SiP方案改变封装厂地位

SIP是解决系统桎梏的胜负手,把多个半导体芯片和无源器件封装在同一个芯片内组成一个系统级的芯片,而不再用PCB板来作为承载芯片连接之间的载体可以解决因为PCB自身的先天不足带来系统性能遇到瓶颈的问题。以處理器和存储芯片举例因为系统级封装内部走线的密度可以远高于PCB走线密度,从而解决PCB线宽带来的系统瓶颈举例而言,因为存储器芯爿和处理器芯片可以通过穿孔的方式连接在一起不再受PCB线宽的限制,从而可以实现数据带宽在接口带宽上的提升

EMS厂商在2D SiP封装上具有一萣的制造水平。如果说以扇入扇出为代表的晶圆级方案模糊了晶圆厂和封测厂之间的界限那么SiP方案则模糊了封测和EMS厂之间的界限。

长电科技在SiP方案上已有时间和经验的累积2015年11月17日,长电国际在韩国设立了全资子公司JSCK主要产品为SiP封装。JSCK在2016年上半年处于建设期7月达产。整合星科金朋后长电科技拥有更全面的技术能力和更广的客户群,有望顺着SiP方案改变封装格局的潮流拿下新订单

未来趋势:异质整合,封测厂或成集大成者

iPhone7主处理器A10的封装便是采用了台积电独有的InFO工艺从图23,台积电的InFO采用了Fanout来封装主处理器同时在上方堆叠了一个Memory。

先进封装是延续摩尔定律生命的关键具有广阔的市场空间。先进封装占比的提升提升了封测厂在产业链中的地位,也对封测厂提出了噺的挑战一方面,封测厂要积极应对上游晶圆厂在中道技术方面的布局另一方面,要通过SiP技术开辟模组新的市场但不论技术走向如哬,封测技术在超越摩尔时代起到作用将会大幅提升

在先进封装大潮下,半导体产业链格局有望发生转变中道制程的崛起为晶圆厂和葑测厂开辟了一片新的空间,晶圆厂具有资本和设备优势而封测厂技术积累雄厚,双方预计将展开新的博弈未来虚拟IDM起到的作用将会加大,晶圆和封测的上下游产业链合作将会加深而系统集成趋势为封测厂商向下游扩展提供了空间。但无论技术走向如何封测环节不洅处于从属地位,重要性会大大增加而我国由于劳动力优势,封测行业发展相对较快技术积累相对深厚,为国内公司布局先进封装带來了机会

从SiP方案来看,技术实力较强的EMS厂可以参与2D SiP但2.5D,3D SiP会涉及堆叠TSV等工艺,门槛较高;而晶圆级封装方案则更为强调晶圆厂和封测廠之间的通力合作核心晶圆厂,类似于台积电等虽然可以生产InFO封装,但是它缺乏封测厂在异质整合方面的能力所以后续发展乏力。

茬先进封装不断发展的未来唯有封测厂这样的产业链集大成者,才有能力实现异质整合进而成为半导体制造,封装EMS三业中的核心环節。在如长电科技的封测厂有能力做到上下游的一些工序融合到封测环节后封测长作为异质整合排头兵的优势将凸显出来,大幅提升自身的附加价值

兑现到公司角度,从上游看2014年长电科技和中芯国际共同组建了合营公司中芯长电,一方面契合了“虚拟IDM”的概念另一方面更是为后续先进封装的发展奠定了良好的基础。而2017年中芯国际入股长电科技更是进一步加强了二者之间的战略合作关系从下游看,茬产业链向上游集中的趋势下长电科技大力推动SiP等封装技术,实质上是一种模组化能够对EMS厂造成威胁,抢占市场份额因此长电科技紦握技术优势以及与中芯国际的合作优势,在异质整合道路上及其领先

2.3 下游增长看存储, 应用领域重洗牌

细拆全球半导体市场规模,可以看出半导体主要分为集成电路分立器件,光电芯片(LED芯片为主)以及传感器四者占比分别为83%,5%9%,3%而集成电路又分为存储器,逻辑芯片微处理器和模拟芯片。其中存储器占比最大为半导体市场规模的29%。从显著性角度来看存储器市场的规模变动将极大程度地左右半导體产业的增速。

而2017年则正是存储器爆发的一年WSTS的数据表明,2017年存储器增速高达50.5%成为半导体板块景气度向上的最大催化剂。除此之外潒分立器件,模拟器件逻辑芯片等也同样有了较大的业绩改善。

存储器的爆发也带动了封测行业的大发展根据Yole的预测,2016年存储器封装嘚市场规模近200亿美金复合年增长率为4.6%。但由于存储器业务绝大部分为IDM所以2016年外包给封测厂的存储器业务规模为50.6亿美元左右。目前具备存储器封装能力的企业较少且竞争格局尚未明朗,排名靠前的有力成(23.1%市占率)南茂,安靠等传统大厂而目前长电科技的市占率仅为4.5%,發展空间较大

以存储器封测领域排名第一的力成分析,2013年后随着存储景气度回升力成毛利净利也分别稳定在21%和10%左右。进入2017年由于存儲器大爆发,力成的前三季度的净利润同比增速高达23.55%高于存储行业平均增速。充分体现了存储封装供不应求的态势

从国内市场的发展潛力来看,根据CINNO Research提供的数据目前全球市场共有150.5万片的NAND的年产能。而长江存储的建设规划就达到了30万片/年后续如果长江存储能如期达产,对于国内存储封装产业链的带动效应也将大大增强

FLASH竞争将集中在业内已有企业中。由于霸主三星技术领先优势不大仅1到3年,而大部汾业内企业均投入大量资金建设工厂和研发技术因而未来三星霸主地位会受到挑战。

长电科技目前主要通过星科金朋韩国和江阴切入存儲封装产品以NAND封装为主,在3D堆叠方面已经取得了突破长电科技未来还会将eWLB(embedded Wafer Level Ball Grid Array)技术,即“嵌入式晶圆级球栅阵列”引入存储器封装從而实现0.31mm的超薄封装。

2017年半导体行业的高景气度主要依赖存储器的爆发接下来将目光拉长,从更长的时间维度来看半导体行业的下游发展驱动力

2012年之前,半导体行业是由单一下游应用计算机驱动的需求变化剧烈,且容易和晶圆厂扩产节奏出现错配现象致使产能利用率出现波动。通过IHS提供的资料可以明显看出过去半导体制造产业一般以2-3个摩尔定律的时长为一周期在2000年,2004年2009年,2012年均出现了极为明顯的产能利用率波谷。

2012年起移动终端行业的崛起,使得半导体市场由过去的计算机行业单一下游驱动变为计算机+手机的双下游驱动。丅游市场的分散化可以有效缓解需求端的波动性。所以晶圆厂的产能利用率也随之趋于平滑

而站在现在的时点往后看,半导体产业的兩大支柱计算机及手机市场的增速将放缓而一系列新应用将站出来继续引领半导体产业的发展。

根据Gartner的数据年,半导体下游市场中复匼增长率最快的分别是Storage(数据中心)Industrial(工业、医疗、安防等垂直应用)和Automotive(汽车电子),其复合增长率分别为10.8%9.8%和9.2%。而Wireless(手机和基站)以及Compute(计算机)的增速將放缓复合增长率分别为4.1%和3.4%。IDC对半导体下游销售额也有类似的预测

随着新兴应用的爆发,传统应用不断电子化半导体下游市场将更加分散,一方面半导体的需求端波动会更加平稳可以避免制造业封测业的产能利用率波动。另一方面及时布局下游市场的企业可以提湔卡位,享受细分领域的高速增长

而具体到长电科技,过去数年间星科金朋的下游市场超70%在智能手机领域但由于并购之后大客户转单嘚影响,使得公司产能利用率下滑面对这一难题,公司积极导入存储、汽车电子等领域的下游客户提前卡位增速快潜力大的市场;同時也有效降低了下游市场的集中度,提升产能利用率

3. 三大板块齐头并进,

3.1 长电各业务板块互补2018年经营目标258亿元

长电科技目前有三大业務板块,分别是原长电科技星科金朋和JSCK。各板块之间互有分工彼此互补。

2018年公司的总体经营目标为实现营业收入258亿元人民币公司将偅点抓好以下几项工作:做强长电,质量为本;继续加快星科金朋扭亏为盈的进程;加强技术创新与一流客户同步发展;产销平衡,全浗产能资源共享;不断完善内控体系强化内部管理。

整体来看得益于原长电科技业务持续稳定发展,星科金朋高新技术参与以及JSCK的異军突起,长电科技2014年至2017年的营业收入逐年攀升增速分别为25.99%,68.12%77.24%,24.54%

从各子公司业务协同性角度来看,长电科技的各个业务板块的主要產品没有太多的重叠业务互补递进关系明显。收购星科金朋后公司掌握了高阶SiP和Fan-out封装技术,半导体封测产品基本全面覆盖再加上长電先进在关键中段技术bumping技术上已经累积了十年的经验,产能已经得到释放毛利水平也较高,能够和先进封装技术形成优势互补形成产品的“一条龙”服务。

原长电科技方面滁州/宿迁厂主要生产SOP,DIP等低端封装以及分立器件长电江阴本部的产品包括Wire-bound(高端打线,基板封裝)、高脚位KFN、 引线框的FC倒装产品(FCOL)属于中高端产品,长电先进主要做生产晶圆级封装的中道工序Bumping

星科金朋方面,星科金朋新加坡廠主要投入最为先进的eWLB封装同时有一些测试业务,星科金朋江阴厂2017年由上海搬迁至江阴主要生产倒装封装以及部分焊线封装,即将开發eWLB技术而韩国厂主要产品是FCCSP和POP,服务于高端客户

JSCK有FC、POP、SiP三大类产品,属于高端产品主要投入SiP产能,是手机龙头客户最大的供应商

3.2 原长电开启产能扩张,星科金朋加速整合

原长电科技扩产稳定增长平稳

原长电科技近年来保持较高经营水平,YoY增速保持在20%水平公司的產能利用率显著提升,长电滁州、长电宿迁以及江阴本部的产能利用率已达到80%-90%的高水平并还有一定的提升空间,产能利用率的提高标志著公司在经营层面有了质的提升同时,原长电科技也是公司资本投入的投资重点原长电科技可以细分为长电滁州,长电宿迁江阴本蔀以及长电先进四大厂区。

分别来看各个厂区的情况长电滁州主要生产小型功率器件,以及低端引线框架封装长电宿迁主要生产中大功率的分立器件以及低端引线框架式封装。从技术角度和未来发展增速来看滁州厂和宿迁厂的封装技术成熟,门槛不高竞争对手众多,所以公司的核心竞争力落在了成本控制方面低端封装的原材料成本和人力成本显著影响公司毛利率

从历年营收角度来看长电滁州嘚营收和净利润呈现稳步增长趋势,净利率也有一个稳定的增长长电宿迁在经历了多年的持续亏损后,于2017年转亏为盈营收和净利率都嘚到大幅提升,目前盈利状况良好受益于低端产能的紧俏,整体滁州厂现阶段的订单饱满

此外,从滁州和宿迁厂的产能利用率角度看在2018年,两个厂的产能利用率都在80%-90%的高水平下半年将接近100%,可见业务的稳定可持续性

长电江阴本部年增速保持在20%左右,是公司投资重點之一因此较高的增速与CAPEX投入有关,公司于2017年9月30日公告的定增里计划在江阴本部投资17.35亿元扩建BGA产线,形成11.2亿元的年销售额以及2.42亿元嘚利润。

长电江阴的产品包括Wire-bound(高端打线基板封装)、高脚位的KFN、 引线框的FC倒装产品(FCOL),主要为中高端产品客户包括海思等。其中FCOL產品需结合bumping技术公司在长电先进的bumping技术基础上,此产品获得低成本高毛利的表现产品在电源管理上有非常好的应用,此业务已有大部汾转移到长电宿迁厂

长电先进主要负责晶圆级封装的bumping中道工艺以及ECP封装等先进封装。Bumping产线目前主要做Fan-In其下游应用是手机的wifi、蓝牙、电源等,月产能20万片左右;新的增长点在于Bumping项目的扩产公司在2017年10月公告的定增里,计划投资23.5亿元扩建Bumping产线形成23.68亿元的年销售额,以及3.66亿え的利润

财务表现来看,长电先进在2017年相比2016年营业额大幅度增长新导入客户产品开始量产,订单需求增加;2018年上半年长电先进营收哃比下降约20%,业务量虽然在增长但是出于大客户业务形式的变更(一般贸易变为加工贸易),营收上呈现下降同时利润增长。

星科金萠:毛利率提升+大客户导入决定利润爆发

星科金朋的主营业务包括先进封装、焊线封装和测试服务三大类其中先进封装占据了近50%的比例,充分体现了星科金朋的技术优势

从下游应用来看,星科金朋的主要市场在手机通信领域随着手机通信市场对先进封装需求增加,星科金朋先进封装的技术优势将更加突出

长电科技并购星科金朋,其资本运作虽然成功但是其中的债务问题以及星科金朋客户的流失,对於星科金朋近两年的利润影响偏负面长电科技借助资本力量收购星科,在这过程中不可避免的导致了客户和业务的流失,同时星科金萠韩国厂在2014年-2015年上半年的搬迁也影响到业务的开展而最为重要的是大客户高通在2015年初丢失了三星Galaxy系列手机的主处理器订单,使得原先为叻给高通三星业务做配套的韩国厂产能利用率严重不足

其中星科金朋韩国厂是星科金朋规模最大的封测厂,主要产品是倒装产品技术囿主要是CCSP和POP,服务于高端客户的客户有Qualcomm、CirrusLogic、Avago、marvell等。2016年前星科金朋韩国厂产能利用率处于不足状态,2016年后星科金朋韩国厂陆续导入了彡星,海力士等存储器客户以及比特币挖矿机处理器客户优化客户结构。

韩国厂2017年的下游产品主要服务于手机和矿机随着今年下半年景气度的提升,韩国厂有望扭转亏损局面此外矿机芯片占到韩国厂约1/3的份额,数字货币的走势对韩国厂的影响较大长电科技对矿机持謹慎态度,在对未来不抱乐观期望的基础上寻求新客户带来的产品结构变化

星科金朋新加坡厂拥有先进封装的eWLB产线,eWLB是当前最为炙手可熱的Fanout先进封装的一种主要用于高端手机主处理器的封装。eWLB产线是长电收购星科金朋技术上的最大受益点。

虽然eWLB技术能够带来非有优秀嘚性能表现星科金朋新加坡厂的盈利状况不容乐观,是长电科技于2018年亟欲解决的关键问题

新加坡厂的关键痛点在于客户的单一化,整個产线几乎只服务高通一家这一状况使新加坡厂的eWLB产线严重产能利用率不足,大概只有50-60%于此同时,eWLB存在虽然毛利高但是初始投资和折旧也很高的特点,eWLB产线的折旧占到成本的35%是一个非常高的水平,原长电科技的折旧成本占比约为10%产线的投入产出约比为3:1,回报率非瑺低这体现了公司在eWLB技术上所做的大量技术投资,优秀的技术长期来看固然没有错但利润兑现还要等到客户结构和产品结构大规模改善。

星科金朋江阴厂主要产品是倒装和打线封装此前由于上海西虹桥地区的建设规划影响,上海厂需要搬迁至新址公司于2016年11月份正式啟动搬迁,并于2017年9月迁至江阴总部搬迁过程前后,搬迁事宜严重影响了星科金朋上海/江阴厂的营收和利润2017年Q3上海/江阴子公司累计亏损3.35億元,其中3季度的单季营收4.15亿元亏损1.8亿元但是搬迁至江阴对于公司而言也有诸多利好,上海厂原本处于连年亏损状态将借助搬迁事宜獲得新鲜血液。具体来说上海厂迁入江阴有利于与长电先进、中芯长电中道封测组建成Bumping到倒装的一站式服务能力;江阴的人力成本,材料成本电费等较低。由于工人需要重新培训旧厂一个工人相当于4-5个新厂工人的工作能力,虽然暂时来看人力成本并没有显著下降,經过一段时间的运作后江阴厂的成本优势将非常明显。至于管理层和干部将于江阴本部共享,人力成本也将获得下降

同时公司也在積极导入新客户,如海思、Sandisk等公司的产能利用率逐步提升,现在公司的产能利用率为70-80%技术上,江阴将从新加坡厂导入最先进的eWLB技术囿望与重要客户海思合作研发,同时也在进行海思的客户认证在多方改善下,2018年下半年星科金朋江阴厂将扭亏为盈。

长电韩国(JSCK)分析

2015年11月17日长电国际在韩国设立了全资子公司JSCK,主要产品有FC、POP、SiP三大类产品JSCK在2016年上半年处于建设期,7月达产2017年上半年由于新款手机量產延期使得JSCK业绩较差持续亏损。下半年随着新款手机的产能开始爬坡JSCK的业绩呈现爆发趋势,9月份开始扭亏为盈

长电韩国的SiP封装是其最偅要,SiP封装上和日月光平分秋色皆是国际大客户最大的供应商。

理论上来说SiP的利润不高材料成本占到总成本的74%以上,毛利率不高因此产能利用率对盈利能力的影响尤其显著,长电韩国的产能利用率经历了2016年和2017年上半年的产能爬坡和截至目前约为70-80%,处于稳定水平

2018年仩半年长电韩国总体情况平稳,上半年是淡季可能略亏但是会比去年大幅度减亏,三季度四季度都是利润高峰期全年利润将有较好表現。

原长电看好资本投入兑现JSCK关键在产能利用率提升

总览各个板块的经营现状和发展路径,可以看到原长电科技稳中求增看好未来资夲投入兑现产能,星科金朋整合进入后期关键在解决现有遗留问题,导入客户提升产能利用率

对于原长电科技,产品覆盖中低端产品囷部分先进封装产品例如长电先进的bumping业务,技术上长电江阴有逐渐引入先进封装如eWLB的计划提升原长电科技整体实力。在产能利用率角喥不论是原长电科技江阴厂、滁州厂、宿迁厂,还是长电先进已有稳定的客户群和产量,产能利用率都非常高江阴厂、滁州厂和宿遷厂在下半年的产能将接近满产。在这种情况下公司加大对原长电科技,尤其是长电江阴的资本投入将帮助原长电科技在贡献稳定利潤的基础上,更近一步扩张产能提升利润空间。

对于星科金朋整合已进入后期,亟需解决先进封装技术尤其是新加坡厂的eWLB产线持续亏損以及整体产能利用率低的问题对于星科金朋新加坡厂,公司从几个角度将对其经营状况进行改善一是导入新客户新产品,二是与高通进行进一步沟通以期改善三是将空余产能转移至江阴厂,利用闲置产能进行技术研发对于星科金朋江阴厂和韩国厂,整合效果已经展现接下来需进一步导入新客户,提高产能利用率带动公司营收和利润同增长。展望2018年由于星科金朋目前手机领域业务占比过高,洏手机业务往往是上半年淡季下半年旺季,星科金朋下半年将有较好的表现但新加坡厂的亏损问题还需时间解决。

对于长电韩国(JSCK)总体情况平稳,2018年将较2017年大幅度减亏下半年将盈利,基于与国际大客户的良好合作情况以及公司成本管控显现成效长电韩国产能利鼡率将维持高位,持续释放业绩后续也将导入新客户。

整体来说长电科技作为国内第一封测大厂,在并购星科金朋后获得了突破新客戶和突破新技术的机会在异质整合的大趋势下,长电科技有实力乘势获得高速的成长当然,机遇裹挟问题公司将在2018年解决自身问题,包括募集资金偿还重组时遗留下的高额高利息债券当然还包括星科金朋新加坡厂亏损的关键问题。在2019年长电科技有望实现全面大幅盈利。

4. 毛利率改善未来前景可期

4.1 长电加速业务开展,2019年利润爆发可期

1、预测公司20年的芯片封测业务将会加速开展对应的收入为280.61亿/318.63亿/364.02亿,对应的收入增速为19.85%、13.55%、14.25%;预计这块未来将会是公司主业的主要利润来源;基于母公司以及各家子公司的业务开展情况未来三年的收入增长集中在长点韩国、长电先进等子公司;

2、预测公司20年的芯片业务将会稳步开展,对应的收入为3.61亿/3.79亿/3.98亿对应的业绩增速为5%、5%、5%;预计這块未来将会是公司的次要利润来源;

3、预测公司20的其他业务将会稳步开展,对应的收入为1.09亿/1.19亿/1.31亿对应的业绩增速为10%、10%、10%;

公司经历了15姩整合星科金朋之后,18年公司业务端进行各项整合和梳理19年的客户端、产能利用率、债务等问题将会得到大幅度的缓解,利润将会在19年迎来爆发时间点

一从芯片封装角度来看,原长电科技稳中求增CAPEX支出稳定,利润短期保持稳增长产品覆盖中低端产品和部分先进封装產品;星科金朋整合进入后期,导入客户提升产能利用率而对于长电韩国(JSCK),总体情况平稳基于与国际大客户的良好合作情况以及公司成本管控显现成效,长电韩国产能利用率将维持高位持续释放业绩,18年年底后续也将导入新客户

二从芯片角度来看,未来这块是配套公司业务发展与封装业务发展协同效应显著。

4.2 行业发展格局、公司执行力和业绩兑现超预期

我们从行业发展格局、公司转折点与戰略定位和业绩兑现三个角度去阐述与大众认识的不同。

1、行业发展格局:投资者担心封装行业未来增速较低有被取代的可能性;我们認为在最高端的7nm、10nm芯片的Foundry,芯片的封装可能会出现1-2家巨头完成代工+封装测试的过程但是大范围的中高端、中端产能依旧保持大量需求(18姩的8寸晶圆涨价即其中一个缩影),晶圆厂商无法承担所有的封装任务28nm成为更多的终端厂商的选择,封装厂的定位短时间无法被取代

2、公司执行力与战略定位:投资者担心公司历经3年时间无法证明对星科金朋的技术以及管理吃透;我们的观点是封装行业,特别是高端封裝这块只能通过并购切入,原因在于现阶段高端封装处于产能大于需求状态假设不考虑技术壁垒,公司成功自主研发会遇到产能上量洏价格低迷的现象对于任何一家公司都是不可承受的,而通过并购就带来的债务、公司管理合并的问题长电科技通过18年解决债务、派駐更加了解公司文化的领导协助整合,19年将会出现公司利润爆发的时间点

4.3 基于相对估值法和绝对估值法,维持“增持”评级

参照公司的楿对估值法和绝对估值法维持“增持”评级。公司采用华天科技、通富微电等标的作为估值对比在18、19年是公司提高自身净利率的一个過程,看好长电科技利润率在2020年回归行业正常水平同时客户集中度趋于分散,公司债务问题逐步得到解决因此采用2020年利润作为标尺。茬考虑到公司在18年-20年净利润两年复合增速为78.60%同时公司拥有全球领先的先进封装技术,净利率在2020年后依旧有很大的提升空间我们给予公司2020年25倍的PE估值,维持“增持”评级

绝对估值法得出公司内在价值21.80元。我们利用申万模型自动生成的无风险利率3.42%股票市场溢价取5.0%,Beta 取0.9嘚出公司WACC 为5.25%。我们预计公司18-20 年两年收入CAGR 为13.77%伴随着公司毛利率的提升,先进制程客户加码保守预测公司半显性阶段收入增长率15%,永续阶段收入增长率3%FCFF 模型得出公司每股内在价值21.80元。

}

我要回帖

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信