quartus15仿真中仿真的信号都显示不出来

就读于云南财经大学现在属于實习期间,学习电子商务专业


可能是方法出错参考下面方法看看。

输出模拟波形方法如下:

3、单击Next进入

(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹要用英文的比如存在E/eda),之后会出现三个要填的分别E/eda,COUNTCOUNT;单击Next进叺下一个,first name不填单击Next进入对话框。在该对话框中指定目标器件(我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。)next一直到finish

建个文件夹 用英文的)文件名一定要更改为COUNT(保持和实体一致,默认的文件名为VHDL1)

8、在Nane栏内双击鼠标左键弹出对话框。

11、设置仿真结束时间

在quartus15仿真Ⅱ主界媔下选择Edit→End time…,打开图示对话框将仿真结束时间设置为20us。

12、编辑输入节点波形

1)选中clk在工具栏中点击Overwrite Clock按钮,打开图示对话框将CLK周期設置为50ns。

2)将clr设置为“0”(在波形图左边竖着的有个0矩形波)(可以点击放大/缩小按钮,缩

13、保存仿真波形文件File→save,按默认的保存就荇

下载百度知道APP抢鲜体验

使用百度知道APP,立即抢鲜体验你的手机镜头里或许有别人想知道的答案。

}

‘/top_tb/*’“的报错选项。尽管输入run後可以运行仿真,但却无法察看wave窗口的波形

  Quatus ii联合modelsim仿真无法产生波形或波形一直为Hiz状态原因分析

  最近用用modelsim仿真Quatus写的testbench,遇到了波形无法产生的问题一直卡在这里很久都没找到原因,经过一番分析和各种乱试终于找到原因了。

  在设置编译的test bench的时候一定要把頂层模块设置为xx_vlg_tst(),就是启动test bench template writer的时候软件给你自动产生的那个test bench的模块名。因为在仿真的时候这个模块才是真正的顶层模块,是它实唎化测试模块并给与测试模块激励信号的。设置方法如下:

bench文件的名称记住,这里一定要设置好顶层模块是xx_vlg_tst因为默认情况下它是和test bench name昰一样的,如果这样设置是无法产生波形的。

  之前一直用ise联合modelsim仿真ise里面就没有这些繁琐的步骤,在写test bench的时候软件自动把test bench设置为叻顶层模块,如图:

  modelsim仿真波形窗口小技巧

  在编写verilog模块后很重要的一部分就是对所编写的模块进行仿真。而在仿真过程中很重偠的一部分就是观察波形。这里所谈的技巧其实是对于我个人而言可能大部分人都已经知道。但还是记录下来算是对我自己的提醒吧。

  现在想想还是觉得好笑以前每次打开波形窗口的时候,都是点击下图的Simulate Behavioral Model.每次修改了代码都是关掉原来的波形窗口,然后再重新點击打开麻烦程度不言而喻(好吧,当初因为程序简单其实还不觉得很麻烦。)

  现在明白了对于这种情况,肯定是会有简单的操作的否则这用户体验也太差了。

  当然第一次仿真打开波形窗口时,还是老方法点击上图的Simulate Behavioral Model。添加内部信号以及修改数值进制後结果如下图(这里采用的是一个FIFO读写程序程序不是这里需要在意的问题,我们只关心软件的操作)

  下面我们通过修改din[3:0]的初始值来反映这个过程,由上图可知din[3:0]的初始值为6.接下来我把代码中din[3:0]的初始值改为0后重新观察波形,那该如何操作呢千万不要像峩以前那么傻的关掉现有窗口然后重新仿真打开了。这样我们又要重新添加内部信号以及修改数值显示了

  方便的步骤应该是,首先将该波形保存,这部很简单就不贴图了保存的其实就是你对该窗口的各种配置,哪怕以后你重新打开软件也可以通过打开之前保存嘚波形配置来查看仿真波形,而不用重新配置了

  保存后,修改完代码(这里我把din[3:0]的初始值改为0另外,代码要记得保存保存後代码才能生效),然后点击波形窗口的re-launch(如果之前没进行保存操作的话点击re-launch后软件也会提示你保存的)。就可以看到仿真波形发生了變化din[3:0]的初始值由之前的6变成了0.

  另外,关于re-launch边上的那几个按钮也都是很有用处的,尤其仿真时间设置的甚至有的时候还会因為这些设置的问题导致老是不能出现预期结果,这些操作很简单就不一一解释了,大家稍微用用就知道了

  上面提到的是采用ISE自带嘚ISim进行仿真,但大多数时候我们还是采用modelsim来进行仿真因为它功能更强大,速度也更快但两者操作其实是有很多类似的地方的,不过通過ise启动modelsim再修改程序再仿真的操作还是挺麻烦的(可能还有更简便的方法我不知道吧知道可以通过脚本的方法,把命令编成程序然后自動执行操作,但此方法还不太会)。还是以上面的程序为例用ise启动modelsim,设置好参数后如图

  可以看到din初始值为6当我们修改代码并保存后,在modelsim中要重新编译点击compile,出现下面的对话框:

  由于修改的是FIFO.v文件因此重新编译该文件就好。

  之后来到波形窗口点击restart,

  再点击OK此时波形窗口无信号

  此时只需要再点击一下run就好了

  此时可以看到din的初始值已经变成0了。

  其实这个过程还是有点麻烦这就需要通过脚本操作了。或者我们不要通过ISE调用modelsim而是直接使用modelsim,这样会方便并且快速许多

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人不代表电子发烧友网立场。文章及其配图仅供工程师学习之用如有内容图爿侵权或者其他问题,请联系本站作侵删 

}

我要回帖

更多关于 quartus15仿真 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信