如何将DDS产生的12MHz三角波改为锯齿波和三角波一样吗

verilog编写的可频的dds!可生成正弦三角波,方波!

所需积分/C币:8 上传时间:
}

格式:DOC ? 页数:27页 ? 上传日期: 14:37:13 ? 浏览次数:17 ? ? 5888积分 ? ? 用稻壳阅读器打开

全文阅读已结束如果下载本文需要使用

该用户还上传了这些文档

}

MAXIM公司生产的MAX038芯片是一种高频精密嘚函数发

生器可产生三角波、锯齿波和三角波一样吗、正弦波、方波及脉冲波,且频

率及占空比的控制可独立进行 用dac0832,那就让0832按照输出波形的要求,不停的数-模转换就可以了

}

matlab生成正弦波、三角波、锯齿波和彡角波一样吗并取点的程序 评分

matlab命令行编写几个小的模块方便大家在使用FPGA时要调用rom模块导入数据会很方便的

所需积分/C币:10 上传时间:
}

我要回帖

更多关于 锯齿波和三角波一样吗 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信