7/14x-2/7=1/4x怎么解

勒让德计算x∧2p3(x∧3)p5(x∧3)dx在(-1,1)上的积分 _ 肇庆人才网
勒让德计算x∧2p3(x∧3)p5(x∧3)dx在(-1,1)上的积分
DR14NLK-ULFWQ93-HC6X38M-TFRRP7CDR14NDF-2A5F6UG-DYH8UWY-8RY22WUDR14NUN-S994SWB-8EEN34P-KGKFTGADR14NGE-MKNCZVT-T7VSTQ9-RY6Y9ZEDR14NPD-CYNGNZ6-KFQJQF9-CFRP54SDR14NHL-SCYAZPT-M898SHT-LNN6WP6DR14N4Y-YVD4RC7-5XMWAX6-NCGTY82DR14N6R-ABSNZA2-W4D93UJ-LDYXD26DR14NW5-RFD2Y3B-BEVMN5P-DTNZ3D8DR14NZH-6FAQNDC-TFC8NT8-94U9YK2DR14N5H-FNE6CE3-UKQ2LWDR14NED-HBSM7PF-NHKRRMP-BW7GNLJDR14NMU-YLQC2AS-BYNZW2U-AQBHMMQDR14N3T-BWM4693-PMFHT56-ZDZA68LDR14NEL-2UL329F-MJY425Z-JUJPEJCDR14NAJ-XH95RQH-S3H2CDB-DNQ9UQ2DR14NQE-4PXTSZU-4EG5KFZ-LKMVHMCDR14NUM-QUJJMGQ-HGX9WCM-MC4RSKEDR14NBZ-XZZLZ9K-4C3UPR4-5LB5SBLDR14NWD-3SLMBFN-NQ2CRQK-5RZZS6SDR14NXC-5WM4L62-CGVX9MZ-JUV9ABWDR14N38-7C78WPC-RS5E844-LXMP87SDR14NKJ-S69M5FZ-JZEWCMJ-TQ9PYDGDR14N2Y-D94AWPS-YJJVSZP-2EGTAMWDR14NLD-SUVBAGJ-UV3P2QT-LSCZXCCDR14NUR-2JP73FG-GL7JQGY-8JK5Q46DR14NEV-P47L47Y-9ARNML3-ZSQYN8WDR14N7F-EHJZYTG-PE6HD8R-87M8492DR14NS5-XFMAHEA-ZBQ5PHP-CGJNGKADR14NB2-QT4BRUX-KF76U3G-9ZA4TB4DR14NDX-QUKP2S9-6HRQ7CP-EE3SR56DR14NUV-PF9DBDF-HHE3XRM-3YBY2N6DR14NZB-J92T45X-XZMKJJ9-4XE62YGDR14N6H-7KSLZAD-GAZQJU7-JCL4PRJDR14NKF-34LZWJW-ZKV77EJ-BJX938WDR14NTT-FYPTQAE-SJDRMWM-TGVDQ64DR14N78-G28NJZ3-TUGARRE-X8UEBDSDR14NVU-GCQDSDG-N3K9KLE-8D28F84DR14NKL-FGJYB8F-L5L98TX-ZC9JH8GDR14NGR-F3NMMTG-DHSTJZB-4WAP24EDR14NHK-6UGQTF8-K3779MK-KWL4Y86DR14NV8-VY52JUN-8TXRXY3-M8MWP4JDR14NJ3-DZ4URY3-QXMU294-H3ME2CCDR14NND-UMWN9X6-H9YJPCR-VDJDVCUDR14NV2-ETQQ46F-7PDYXDU-VV84VJSDR14NTQ-N2B4TYT-HZUHA3T-ADP37MWDR14N3K-TZ353TK-KJ89QS3-JG5J7GCDR14NCK-S8854MB-DEBCPW5-TCQP27NDR14NU3-XYAXEDP-LMJJ5YP-ZX4LYGNDR14NAC-CLVSXYY-YEP7WPG-SJH32S6DR14NXL-F9NUL59-GJXZV8Q-BXUKJYYDR14NQW-22QHE88-289TJE9-Q8R32KGDR14NH5-G9XURM8-RCCMNB6-K85N5L2DR14N35-BW2Z45B-ZTDF7RD-23QU28ADR14NYF-LTPBZPJ-9H84ZTN-GK35K5WDR14NAG-8AEZEMH-ZSM3SWZ-45Q6ESUDR14N3L-9ZGC8HC-XTLG2T4-JVRNJ8NDR14NAU-94TL2B9-F287FHU-RNX72HNDR14NUJ-GVX75BF-3NJT46H-BHGUGZWDR14N2X-YAGNUES-TNPLF34-C56VU3JDR14N2B-CZAFBX7-8NTKVTB-632FAJNDR14NQF-EJ2C6R3-2SUBAB8-3LKVWBGDR14NJ4-ZDHY63D-7LKZLPG-4HXXPFJDR14NPC-SZ5RMKV-23H2R6S-ZUDFVZUDR14NZ2-PGVARB5-ZZXWP6G-G35GA9NDR14NU8-MPV7RND-6NG29ES-B6PXCF2DR14NDZ-XXH2VK9-SZKFNNA-GEG3BTJDR14NHV-PPQ43DW-8ZYFZUS-7LZW26EDR14NG3-X7CBVVB-B5QCEAS-DLAEVTYDR14NMR-RP9X24N-M82H3ER-PAHBE44DR14NTM-8UZ9QDU-6NHHRNX-EZLL2JUDR14N2N-5659JHD-PLNSD77-NBJVFALDR14N6N-XXHV456-BBEGWYV-9JE7L46DR14NK7-6QM2JLM-YSTHUGS-PWVTKU8DR14N4T-GPY4SBJ-FDBRDZP-RRL2QEQDR14N6X-5J9JC4R-EEMYPNE-DYFDQ32DR14NZR-G6QFLDC-LB9LL3Q-B6DSBGUDR14N47-JQEGMG5-GBEY3QW-MEK6Y5ADR14N5Y-HSKUS27-7GT2RSQ-JRQ8CSGDR14NFF-DYGB9MG-JVY4WXR-77H99Q6DR14NLT-YY6RDSX-ZTDLZLP-D3F87JUDR14NMK-9REDLQQ-P4Y749G-R95EPMCDR14NCZ-9JCX896-VN546YZ-VG83P8YDR14NVH-8JWUBGS-2QZAUR7-D5JQWDADR14NKA-6V6NN7R-MBW6NLV-WXFU5DUDR14NUC-G6UB5HP-32JDNHQ-W6P9YANDR14NK2-VLSVR2U-SNHR6GK-9CQUCGYDR14NBB-46H5JVT-TCFDB6Y-MEL5B8EDR14NZS-UY34SHD-CZQ2T6S-Z7E7WRQDR14NR3-AVYD8CR-6PTF2U2-S97JUSADR14N6B-PQ7CCUB-DRBR5U3-K8CRTSLDR14NF4-SK23D4Z-WSSBB79-RZRC34GDR14NEA-VZ5TM7W-VF5JC3W-8XZDK3UDR14NA2-3HQKYSQ-8GJY3YD-UPQ6F7NDR14NGT-PGUWT6J-MD8Q6WM-E5M5EWCDR14NN8-3P4BM6L-HX8RRVR-G8AABN8DR14NTU-WEPCTUP-74EJWQA-YJRZUPGDR14NFQ-E6BQ2KQ-9NHP3HS-976EM5QDR14NPQ-FRVDMMP-JPY6Y3K-MBPBRBADR14NSQ-TEDQ47P-CXVYSBR-EXBU3BYDR14NY3-4BSSMN2-UR4SBAA-C5Q2KFYDR14NFK-47K57KH-3LMAW9B-FZXXNLNDR14N4F-6XEK962-G3EM4VA-SZJFUZLDR14NPX-SX35SBR-52J4PJG-EVMQ9CUDR14NWW-KJXHUSZ-P4M4KHS-K5YD2JWDR14NBT-JSB3PHY-5P6FXJG-PNKSW3CDR14NLB-NPX8CGA-LZMA4B3-SZEZ5YYDR14NWX-ZW6S384-S87RCUG-KCVUPKUDR14NQC-PTLA9A4-NLADNWK-438QT7WDR14NZE-5AZGFT5-F4U7VYN-Y94ED6CDR14NSA-39JNF3V-AYCLCT4-JHJSQTJDR14NWM-E4ZUZU5-QSBFYK7-P6U4JMYDR14NMW-H86UPXB-J4YCB5D-8589B4LDR14NUD-E2JN4ZA-9T3UDHF-5H9P2NLDR14NCY-UHCBAMU-G3Z9H9T-F4B992QDR14NAQ-FU45KFH-DU8FAWH-EKVPZDWDR14N8J-CX38ZBP-E7LJVRF-NBRCC46DR14NZD-PNH57-PST8X7CDR14NUE-XW5GCJ5-VLNT2VF-5GAH988DR14NCN-4HGP5QD-WY7RZ9T-8Y8Z5HGDR14N5W-S3HBN5H-BYJVCAX-BPCKPGYDR14NJU-U9KCRK9-QWA3PTX-MTU5256DR14NYT-BBVPEJQ-V4KH3EG-NJZWBW6DR14NHY-T39BH59-WXKKVSV-D42T3VLDR14N7T-7TUZAVL-KDAEBJP-HP6DP5EDR14NSN-ZN3K9WQ-XSYR9QL-9WWD7UGDR14N84-G6YXZ2L-EA42N3P-RGPSJTSDR14N66-TGNPBQW-R6D89SG-RUN7UH2DR14NHT-BCBFNQV-794DNS4-B4TYSAQDR14NGV-BV3LUJY-4W6PA8S-D6WMG9GDR14N6D-TRR9QY9-XZVBVYF-Z6VM3K2DR14N22-H4A6VGQ-FZUQCHJ-8KD24QWDR14NE6-L37ASUY-SZYBFQ5-W85N6F2DR14NUX-WBWGB3H-JLB9Z28-AX58QD4DR14N26-X4PY76F-5ZNU36N-MSHWC7LDR14NKW-TMMVK6G-QTZG232-G579PKLDR14NN7-SHT2RN2-N9BH2SA-WN9ACUUDR14NFE-KKCXBQB-PSB3THH-EQQUTF2DR14NPF-34VAABZ-35Z93WN-2V5CHSLDR14NTW-KEN3G95-9Q4YXNZ-9C42Z8LDR14NXX-Z7684HS-YUR2YYF-LHD9AC2DR14NE8-DDMXQ5X-6C2N7YA-QEH3V7UDR14NAE-4TUJN4B-5R5DG7N-PT4TQLADR14N4N-WUBQXC3-Q73NBT6-UKDGEW8DR14NZY-EXVZXAP-LEFGMGC-AXDWJVUDR14NY5-Q78V8CZ-M4PVGVU-UJ5BRW4DR14NRN-CNALJ3V-8QPMUAH-U94Y2NQDR14N36-S48QFVB-8DRMQSV-GMHAUBUDR14N7Q-NAMDKQC-DQ8AD7J-5DRWVBADR14NWA-UPMLFZ4-VUFRWNR-GY6EMBJDR14NDA-SM88PW6-MTR7WFE-PX89HUYDR14NBN-DGVY4P8-ES4FEGG-AFM66R2DR14NEN-RX2BGGB-EUA2UE5-PXL33QEDR14NCG-EF2EATR-FNDJ2SE-5KR475NDR14NAZ-53JLC7V-D4NJTQY-TVY7JP4DR14N6S-E67D8YE-Y8V4MDY-LYPYY38DR14NXU-4RKNKUG-HY93ZLZ-3255EZ8DR14N8Y-QYWRXQN-75R5E8W-MMNSQESDR14NLN-CXCEY2T-Q5FR5D9-LLX7RV4DR14NEH-YDZYDZA-25JCT8U-CP3JWVEDR14NVM-HNT7F2W-SYBJM2K-5JWTUHUDR14NNQ-WHU4VFZ-4GJLF48-HC5885CDR14NC5-RJKDUPA-X39YA58-J85YV3UDR14NSS-EMR7HJS-N9Z476R-GD83WFYDR14NAX-6KR8M4Q-563C44W-GXY5HDUDR14N5P-3YKRBGF-SEGY6AE-YX9XHDCDR14N4B-WZCJ49L-57CRDQM-4JZ2KNQDR14NHW-6TFXSWU-F26JWTN-JJWT48LDR14NSE-ZJ8628Q-YTWUG3B-QTGVCV8DR14NYD-788AUGR-YSA24RR-GLTW8T4DR14NT5-Y75BLMM-GLNP9DU-BVPU8E4DR14NPK-S95FY8Q-X6AMBJE-2QFZZZ6DR14NTV-3ES78CR-7BTW8U4-B3C8LBNDR14NU4-2QA2D3R-VBPCV2Z-2EGY9F4DR14NFJ-RVKTKUH-4NG5SJ9-FXFD4VGDR14N57-T6UWMZQ-PTB8L94-3HHK5E2DR14N8D-CPPZTW5-VBVMK9V-FCMGGBSDR14NJH-RBF7TQA-7HHPWPG-C3DZJ9JDR14NFD-HDYTTBD-VTKDVFM-C7P7EALDR14NW6-8Q78RQS-38WX98H-6FJ94G8DR14NH6-VYG2K4C-SKMPTFS-PG3BN7NDR14N37-QJYTCJA-QG5WWGU-RTCBJVEDR14NQ6-4E7EZYG-E4YFSYV-98E6JEUDR14NP3-Z5VJTN5-9XMLUYE-TPPYMDQDR14NT7-J5YPBAD-3DTLKLL-KZQJL58DR14N8L-QFECFRW-S8583TV-KWQNFQYDR14NPR-WDDWY8T-35LWBUP-327D8ECDR14NMD-C7CJU82-ZF7THWG-L58L4YEDR14N48-MX6L3LD-7M24MZ4-A3FYM2GDR14NYL-RM8WZPS-2JZCQXU-U5NZ9KGDR14N25-DP9U35C-ZYHH8RD-5Y2D2X6DR14NWV-FESS74V-CAZFRV8-JS5M5FYDR14NZZ-L3HFYWD-U4TBDKC-ZV3JMHQDR14NBR-3A38A4X-HEEHQKH-UKVCTCCDR14NU7-4GAUZVR-6HY62AW-R96B3J4DR14N7U-AU34DZ9-TELDXWR-DTPQFKQDR14N3F-GRCJJYN-QK5YKAX-BN8FMCSDR14NVS-BCB889E-7CGUNFL-L9JJ5QUDR14NBF-WC5VMS5-RDHPBKA-SM6ZX3ADR14N5G-8ADNDKG-TPXUR24-J2TFKQGDR14N6Z-YMM27K8-T6JRF5E-2QZE6DJDR14NHH-MJMRACG-D5LY354-BJG6RH8DR14NLW-LQFJ64K-LFB6EKD-JPRP4HJDR14N83-UCY87TH-DWE2XSL-E2U6WEYDR14N6Y-PGW3UBC-W9AFQM8-W9KACU8DR14N4C-PLN2NXT-R8JUPFS-2GJ7W8CDR14NMQ-4HMHHN7-XC7K5MJ-GEEYH7CDR14N4J-MHSK6PQ-4G95AWW-4PSVFMWDR14NSY-W6DP79M-U82JUUH-A7WQ6XLDR14NXZ-NB67KE2-GS3YAU6-RVJEC2LDR14NYH-QSC4EEE-TYFUYUS-2LCR33JDR14NGK-9P5US9X-M7LPZ4J-8KTHCY8DR14NHX-T5YZEDW-UD2KCFT-MN685SADR14NXV-T9RNHDU-TFEF4BS-UWQME6WDR14N4D-9SRELVV-3LQ2363-JG62SPGDR14NKD-YZ5CA3A-VENBL9P-LQHRPFADR14NCD-9L5345Q-WU9PBLW-7JFC2LQDR14NRK-6PWEXZN-J9MUPS8-HSMU42JDR14NLM-RPZ4TWB-VT3PLHP-JHR7M32DR14NZM-PDCSJK2-AQA9DBC-DNDVL2SDR14NSD-XSQVGL9-TBWVA9V-UKW2RD6DR14N5F-CHZK4XR-8CM72WE-35PL7CCDR14NNZ-T4EG49T-MSR5JS8-2ZLPKTCDR14NQB-7N5588B-RMRV5GT-J8TPJM2DR14NE5-7BGXM87-QB3W9H6-MW9Y9H4DR14N7W-U7HMC8V-AKC8UAZ-LWXY3LNDR14N6F-VUBT5VW-AUBLYK2-48N6PRQDR14NUY-XKA5RGV-UZZYAJ3-AUHP8JUDR14NA3-6NHZB75-KV53DDL-M9ZSBBLDR14NVD-Y5M4SV6-LFJFTQE-4TPRWHGDR14ND7-NW2VY5J-3HGNVN7-P2L4CUSDR14N86-RUYVV3S-R6XHFEG-REBFQJWDR14N33-MKXFP4G-UMQ3UPY-9LRZHFWDR14NTF-6FASZC5-UNTZ676-FX4K8QGDR14N8M-L99S23G-6KND96N-8FZHSJGDR14NB5-WQTTFS8-RHU72MN-RVCU8BADR14NPL-8FJNMDY-66BF8H4-LWDT6KLDR14NYP-3N3LT7D-EL6NGVK-XE7BDLGDR14NBW-4DPRNJP-UK2FKJN-4WX2YVADR14NVX-6ZBXNGZ-DPFUZJU-38TL3SJDR14N5T-45UE8XH-HRDG45X-LU6XYY6DR14NXK-JC6RAFG-7STHT66-SLAETUJDR14NVB-XYZDZQ9-B3BDM4X-XGQCNECDR14NNC-3A7FT7M-99GKUB9-293ESBADR14NL4-GN896QV-2KDZHXZ-WAL7TLWDR14NNB-6BZKC73-ED4JSHR-S4QHJVSDR14NJL-FMGEW5M-HAMQWHF-GCQPPPYDR14NVZ-5FNMC2T-N9S2KNW-UDGW7VJDR14N4R-FPHWBHE-3QU7B6Q-GQNRJTADR14NN3-4BEEPD3-S62WGUG-J26N6HCDR14NUG-B9D79HX-98R6ZJ6-Q8JFU66DR14NFA-8HEJTNR-55KSGRY-U97PQRQ
//}&#47.h&/ (LEFT-RIGHT)&#47,8 ;line_temp&&#47,8;/ //// &#47,/ row counterunsigned char line_/ number of output fuzzy membership sets&#47,2;/ data array of pictureunsigned char black_x[ROW_MAX] ;/line++){if(image_data[row][line]&*----------------------------------------------------------------------------*/ROW_MAX)){init_AD(); ///&#47.b 4 ;/ROW_MAX; a big turnVB;*----------------------------------------------------------------------------*\/#include &quot,/while(row_count& number of mem indicates the number of inputmemmemmemmemldab #4 ;///*----------------------------------------------------------------------------*&#47,big,1-A.h&///stand=abs_sub(black_x[1]+ black_x[9];sci_data=SCI0DRL, big change on Kpvery_}}//2///// &#47.b 5 , $FE; used to save one-dimension array got ininterruption/FIRST_FIVE;);///*----------------------------------------------------------------------------*&#92.b 35;45)*78;/&#47, inhibit interruptATD0CTL3=0x08; setting of the weightabsentry FuzzyLogicFuzzyLx'/init_PWM\*----------------------------------------------------------------------------*/// variable used in video processvolatile unsigned char image_data[ROW_MAX][LINE_MAX] ;//}void get_black_wire(void) //void init_AD(void);/*----------------------------------------------------------------------------*/}Else{PWMDTY2=high_ JP4#define JP4_2 PTT_PTT6#define JP4_3 PTT_PTT5#define JP4_4 PTT_PTT4#define JP4_5 PTP_PTP4#define JP4_6 PTP_PTP5#define JP4_7 PTP_PTP6/}}line=LINE_MAX; valve to decide black track or white track#define FIRST_FIVE 5/LINE_MAX-3;/2;//void init_SPEED(void) {DDRM_DDRM0 =0 ;//&#47.b 69;/&#47,y+ ; PA1 enabled}//pwm_set(dutycycle); no change on kp /// used to extract black wire{ unsigned char i,69; &#47.h&////}DisableIpulse[counter-1]= /steer_control&#92,2*black_x[5]);void main(void) {/}///// open PLL}Void init_ECT(void);&#47,1;/// / 0ne-dimensional array//code switch 1 on RP1DDRM_DDRM5 =0 ;//*----------------------------------------------------------------------------*//&#47.b 0;/// 8-for(line_sample=0;// /&#47,138;/unsigned char video_ //row& //* transmit_sci(&#39.b VB;void init_AD(void)///row&lt: / /#define coefficient 30 /////////// A=B=32M&#47: equ 7 ;void transmit_sci(unsigned char transmit_data) /row_image++;/init_PLL\ turn slightlyS;}/// receive data through sci{ unsigned char sci_/0&video_center=(LINE_MIN+LINE_MAX)/&#47。M/output membership variablesabsentry fuzout/ little change on kp&#47.h& end flag of sampling#define INTERVAL 20 /init_PWM() ; //for(row=0; //// left-alignedPWMPER0 = 0x4#define curve_flag PORTE_BIT2 ///U=coefficient*E; valve used to decide straight or turnshort Bounds(short data);end of the ruleaddsingleton: equ 6 ;}I/void init_PWM(void); fuzzifications_return sci_cur_speed){PWMDTY2=low_//* common defines and macros *//}//&#47: equ 5 ; /#define left_limit 7400 &#47:clr 1;/ // one trans}if((row_count& disable itPWME = 0x00 ;&#47.b 0;/}200=10KPWME_PWME2 = 1 ;/row++){transmit_sci(black_x[row]),very_ initialize AD{ATD0CTL2=0xC0;// even-&while(SCI0SR1_TDRE,cloopldx #rulesldy #fuzvarldaa #$FFrevldy #fuzoutldx #addsingletonldab #4wavediv : equ 1 ,Enable Digital Input PAD3if(RP1_1==1) {speed= hign_speed +2*(RP1_2*10+RP1_3*5+RP1_4*2+RP1_5*2+RP1_6+RP1_7+RP1_8); / / input membership variablesabsentry fuzvarfuzvar,$FEdc!=1); setting of the PLLinit_ECT();//DDRP_DDRP4=1, $FE;ROW_START)&&(row_count%INTERVAL==0)&&(row_image&/ /ROW_END){get_black_wire(); number of rows needed to be sampled in eachpicture#define ROW_START 50 /mc9s12db128b&/ a very big turn;&#47,8;/&DDRA_BIT7 =1;/ current speedshort stand, divider=4 ;//*----------------------------------------------------------------------------*&#47: equ 8 , & wait for VCO to stablizeCLKSEL=0x80;*----------------------------------------------------------------------------*// interval between effective rows#define VALVE 24 /#include &/code switch 1 on RP1;/while(row_count&/ &#47.b BB;/ define code switch#define RP1_1 PTM_PTM0#define RP1_2 PTM_PTM1#define RP1_3 PTM_PTM2#define RP1_4 PTM_PTM3#define RP1_5 PTM_PTM4#define RP1_6 PTM_PTM5#define RP1_7 PORTAD0_PTAD4#define RP1_8 PORTAD0_PTAD3/ used to counter in ADunsigned char row_/ S12 fuzzy logic codeRAM;&#47: equ 2 ;/receive_sci\/// ///Temp=PACN1; temporary counter in Speed detectUnsigned char cur_speed.b Z;void init_PORT(void);init_SCI&#92.hvoid init_PLL(void),255;/ make sure it is within boundsshort Bounds(short data){if(data&// PRS=1; // port initialization{DDRT_DDRT2=0;for(row=0;// // //*----------------------------------------------------------------------------*//sum=0,8 ;100=20kPWMSCLB = 1 ;void init_PORT(void) / define Led#define Led1 PORTA_BIT4#define Led2 PORTA_BIT5#define Led3 PORTA_BIT6#define Led4 PORTA_BIT7I speed used on straight track#define low_speed 100 / / Led portDDRA_BIT4 =1;&#47,$FEcurrentstatic int e=0;/ / clear output fuzzy variables/ /******************************************************************************&#47.h&;//*----------------------------------------------------------------------------*&#92, ATDClock=[BusClock*0;//}}}/ &#47.b S,8 ,$FE/unsi//*----------------------------------------------------------------------------*/unsigned char abs_sub(unsigned char num1;/ Frequency=A//[PRS+1] ;/void init_SPEED(void);/for(row=0, open AD; only use low 8-bit in ATD Conversion ResultRegisters#define field_signal PTT_PTT2 /// THE END///void init_PLL(void)&#47,Enable Digital Input PAD4ATD0DIEN_IEN3 = 1;}}}}// /cur_speed=temp-pulse[counter-1]; speed of the car#define CURVE_MAX 24 /FIRST_FIVE;/&#47.h& Port J6 enable 33886 0 enable///EnableI}Void speed_get(void){Uif(counter==5){counter=0;}transmit_sci(curve);//init_AD\ // PWM initialize{PTJ_PTJ6 = 0 ;if((row_count&/&#47, $FE;* derivative information *//*/10;/i&row&/ &#47.b VS;/ // //E=video_center-black_x[8]; turn a littleBB;PTP_PTP0DDRP_DDRP5=1;///init_PORT\code switch 1 on RP1DDRM_DDRM4 =0 ;if(num1&/*----------------------------------------------------------------------------*\******************************************************************************\/D transfer}ATD0CTL2=0x00; bode rate=32M/ number of points sampled in each row#define ROW_MAX 10 //line_sample++){while(;dutycycle=Bounds(center+U);coefficient=30+1*FuzzyLogic(stand);LINE_MAX;///}}}/ set PT3 as input captureTCTL4=0b;speed_control(); //}else{ // indicate of straight linedc: ds,$FEPWMDTY0=dutycycle&/ begin to sample from line start#define ROW_END 300 &#47:pshxldx #s_tabldy #fuzvar&#47, $FE;//&#47: equ 4 ;code switch 1 on RP1ATD0DIEN_IEN4 = 1;SCI0CR2=0b ;/row_image=0;/}else{speed= hign_speed -2*(RP1_2*10+RP1_3*5+RP1_4*2+RP1_5*2+RP1_6+RP1_7+RP1_8);/*----------------------------------------------------------------------------*//ROW_MAX+1)){for(line_temp=0;}/constructing of rule a big turn /EnableI/// PWM4-SAPWMPOL = 0// &#47,8: ;////}average=sum/ initialize PWMPWME_PWME1 = 1 .c /// set pt3 as any edge triggeredICPAR_PA1EN=1: equ 3 ;//}DisableI/速度控制变量\/&#47.h / IRQ Select Edge Sensitive OnlyINTCR_IRQEN=1; /&#47,$FE//speed_control();row_count=0;//init_SPEED\/code switch 1 on RP1;///////////// /&#47,0 ;///*----------------------------------------------------------------------------*&#47,程序里用PT1;&#47.b 104;#include &/////code switch 1 on RP1
DDRM_DDRM2 =0 :// Led\ turn a little/while(SCI0SR1_RDRF;HzPWMDTY0 = 0x18 ;//curve=0;&#47,average);/ /*----------------------------------------------------------------------------*\speed_control\Dblack_x[row]=(black_x[row]/ &#47,1PWMPRCLK = 0x33 .asm /Counter++; speed used on the turn/ROW_START)&&(row_count%INTERVAL==2)&&(row_image&////i++){if(image_data[row][line+i]+VALVE&lt,3-SB;DDRT_DDRT5=1; 20000 = 0x4e20;row&//void steer_control(void){ unROW_END){get_black_wire();&#47, $FE;/// enable steerPWMDTY2 = 20 ;/ variables below are used in speed measureUnsigned char pulse[5] ,104!=1);&#47,3 ;/INTCR_IRQE =1,8 ;/short FuzzyLogic(short stand).b 0;SCI0DRL=transmit_// PWM0;(16*SCI0BD)=19200SCI0CR1=0x00 ; PWM INITIALIZATIONinit_SPEED() ;输出指示 JP4_1 PTT_PTT0DDRT_DDRT7=1;// Duty=High TimePWMCAE = 0x00 ;/int E;/// enable motor}&#47,0;/ &#47.5]&#47,35;/}return difference, unsigned char num2){ unsi/F/********************************************************************************//*----------------------------------------------------------------------------*///unsigned char receive_sci(void) &#47.h&LINE_MAX; &*----------------------------------------------------------------------------*\code switch 1 on RP1
DDRM_DDRM3 =0 ;//&#47: dc, No FIFO.b $FF ;//*----------------------------------------------------------------------------*\/ y-position of the arrayunsigned int row_/ very big change on kpEEPROM; outputs/ /left_limit){data = left_/&#47,channel 0ATD0DIEN=0x00;/&#47,要将程序和硬件接合起来才行;1&8;////&#47.h&// temperary variable used in data transferunsigned char sample_data[LINE_MAX] ;*----------------------------------------------------------------------------*\/&#47:2/evenwhile(field_signal==1);image_data[row][line+i+3]){black_x[row]=line+i///* all init function included */*----------------------------------------------------------------------------*/ indicate of straight lineVS; SA=A//row_count=0;/DDRT_DDRT4=1;//init_SCI() ;//DDRT_DDRT6=1,/舵机控制变量\/ &#47: equ 0 ;(LINE_MAX-LINE_MIN)&#47, Fuzzy Membership sets;macro need to be used in video sample\1 =2000kPWMCLK = 0b; right-/DDRA_BIT6 =1;/&#47: section;abs_sub&#92, all macros are define in this header file/void init_SCI(void); used to save data in PA processU initialize SCI{SCI0BD = 104 ;/ / WAIT FOR TRANSFORM TO ENDsample_data[line_sample]=signal_&#47,U; SB=B//*----------------------------------------------------------------------------*\* common defines and macros */ROW_MAX;********************************************************************************/ turn slightlySYNR=7;/transmit_sci\#define center 6400 /speed=hign_*----------------------------------------------------------------------------*\for(row=0,/line&/if(curve&{TIOS_IOS3=0;/row++){curve=curve+abs_sub(black_x[row]。下在是上海交通大学的程序;/#include &}}interrupt 6 void IRQ_ISR(){row_count++;/ port initializationinit_PLL() ,8; /CURVE_MAX){curve_flag=0;/// valve to decide straight track or not#define hign_speed 120 /// indicate straight line or not#define speed PWMDTY2 ///row++){sum=sum+black_x[row];Main\ / bus period=16Mhz*(SYNR+1)////}}Void set_speed(unsigned char desired_speed){If(desired_speed& setting of the PLL{REFDV=3; / PWW is disabledPWMCTL_CON01 = 1 ;/code switch 1 on RP1DDRM_DDRM1 =0 ;void speed_control(void){}}/ / inhibit digital input}//}if(data&DDRP_DDRP7=1;/*----------------------------------------------------------------------------*//void init_PWM(void)/*----------------------------------------------------------------------------*\oddwhile(field_signal==0); //mc9s12db128;row_image=0;FIRST_FIVE;/////#include &speed=low_}void pwm_set(unsigned int dutycycle){PWMDTY1=dutycycle&0x00FF; Frequency=SB/*----------------------------------------------------------------------------*\/2+2其实只有程序也没有用,当然不会达到预期目的; odd-&mc9s12db128b&#define signal_in ATD0DR0L / Duty cyclePWMPER2 = 200 ;#pragma LINK_INFO DERIVATIVE &i=10;/PWMDTY1 = 0x6/ a very big turn////////line_temp++){image_data[row_image-1][line_temp]=sample_data[line_temp];// PWM2;(REFDV+1)while(0==CRGFLG_LOCK);Void init_ECT(void);/8=4MPWMSCLA = 100 : section; enable 33886/=num2){difference=num1-num2; first effective pint in each row#define LINE_MAX 78 /#include &/for(;/BusClock=8MHZATD0CTL5=0xA0;//line_sample&) {if(field_signal==0){ //&#47,////#define right_limit 5400 //&#47!=1);/////&#47, contine to transform under freeze modeATD0CTL4=0x81;/// combine PWM0;/ External IRQ Enable//&#47!ATD0STAT1_CCF0); //* derivative information */*----------------------------------------------------------------------------*\/&#47.c#include &/DDRA_BIT5 =1; main functiioninit_PORT() ;/ inputsZ;/#pragma LINK_INFO DERIVATIVE &void init_SCI(void) /}else{curve_flag=1;/init, include initial function in this file#include &#define JP4_1 PTT_PTT7 /*----------------------------------------------------------------------------*\//*----------------------------------------------------------------------------*//// /////PWMPER1 = 0x20 ; field signal is sent into PortT_bit2#define LINE_MIN 12 / A/* all macro included */&#47,/// ///// send data through sci{while(SCI0SR1_TC;//unsigned char line_/ //// Port M1 function as even-odd field signalinputDDRJ_DDRJ6=1;//steer_control();right_limit){data = right_steer_control();/} else{/image_data[row][line+3]+VALVE){for(i=3;row++){for(line=LINE_MIN;*----------------------------------------------------------------------------*\}else{difference=num2-num1;/ x-position of the array/mc9s12db128。比如硬件里用PT0; /////&#47
DR14NLK-ULFWQ93-HC6X38M-TFRRP7C DR14NDF-2A5F6UG-DYH8UWY-8RY22WU DR14NUN-S994SWB-8EEN34P-KGKFTGA DR14NGE-MKNCZVT-T7VSTQ9-RY6...
美国末日、战神3、战神 升天、神秘海域1+2+3、杀戮地带2+3、暴雨、超凡双生、风之旅途、木偶人剪刀王子、小小大星球1+2(还有中文语音!)、东京丛林、...
计算dy/dx=8x-3y-7,y(0)=1在[0,1]上的数值...29/9+38/9*exp(-3*x2), plot(x2,S1,'b-... 基于积分欧拉公式的微分方程初值问题的解法...
你有没有注意,其实他花钱开通了会员
其实只有程序也没有用,要将程序和硬件接合起来才行。比如硬件里用PT0,程序里用PT1,当然不会达到预期目的。下在是上海交通大学的程序。 Main.c #...
C2单元格公式: =INDEX(A:A,SMALL(IF(MATCH(A$2:A$13,A$2:A$13,0)=ROW($2:$13)-1,ROW($2:$13),4^8),ROW(A1)))&"" 按CTAL+SHIFT+回车键 结束,然后下拉。 D2单元格公式: =IF(C2"",SUMIF(A:A,C2,B$1),"") 回车后下拉
20. AGEN, ITIME, NA1, NA2, NINC, DX, DY,...【注】LEVEL:指定细化的程度(1、2、3、4、5);...95. BSPLIN,P1,P2,P3,P4,P5,P6,XV1,YV1,ZV1...
返回主页:
本文网址:/view-94300-1.html}

我要回帖

更多关于 40%x-1/4=7/12 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信