信号完整性设计中,stat3抑制剂给药途径反射有哪些途径

 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
高速 pcb 设计中信号反射的抑制方法(论文)
下载积分:1500
内容提示:高速 pcb 设计中信号反射的抑制方法(论文)
文档格式:PDF|
浏览次数:3|
上传日期: 13:44:11|
文档星级:
全文阅读已结束,如果下载本文需要使用
 1500 积分
下载此文档
该用户还上传了这些文档
高速 pcb 设计中信号反射的抑制方法(论文)
官方公共微信信号完整性在PCB可靠性设计中的应用_甜梦文库
信号完整性在PCB可靠性设计中的应用
山东大学 硕士学位论文 信号完整性在PCB可靠性设计中的应用 姓名:郭宜涛 申请学位级别:硕士 专业:软件工程 指导教师:贾智平
山东大学硕士学位论文摘要如今,越来越小的电路板空间,越来越高的器件密度,极其苛刻的布局规则 和大尺寸的元件使得设计师的工作更加困难。如果简单的运用传统的电路设计理念设计一个可靠的电子,在性能和可靠性上可能根本无法达到市场要求。这一切都促使我们使用S1分析方法及相关技术,在PCB设计前期进行信号规则的分析, 然后将分析所得的电气规则输入布线工具进行具体布线设计,这样既可在设计过程中保证信号质量,又可解放人力、提高设计效率,满足市场要求。在本文,我们首先介绍了信号完整性(SI)概念的定义与重要性,PCB概念及 结构,重点探讨了多层板特点与优点,总结传输线的定义与种类,分析了信号完 整性设计中的常见的问题及其产生原因,将其划分为5方面的问题,分析其产生的理论依据及相应工程公式。接下来我们就信号完整性问题中的各个方面进行针对性的分析,就分区设计, 地线设计,反射抑制,串扰抑制,同步切换噪声抑制,电磁兼容性干扰抑制,PCB 尺寸与布局方面给出实用的工程解决方案。 随后我们设计了一个电子式电表的PCB,在这个过程中把前面总结的理论完整应用到设计当中。’原理图是PCB设计的基础,所以在进行PCB设计之前,我们先对前期原理图进行分析设计,我们首先按照功能对电表的硬件分为计量电路,控制电路,周边电路三部分,并对各部分的模拟信号和数字信号进行分析,给出阻抗匹配电阻, 去耦电容分布,电源滤波电容分布等信号完整性解决方案。随后,对十个实现电 路功能的模块所用芯片,设计思路进行详细描述,按照电子线路基本原理,使用ProtelDXP设计出每一模块的原理图。接下来在原理图设计的基础上进行PCB设计。首先通过计算明确本次设计所采用的板层数目与传输线阻抗设计,并使用Polaris S6000进行传输线信号完整性验证。随后按照数字和模拟混合信号设计原理,利用Protel DXP遵循论文前提提到的信号完整性原理与方案,详细设计PCB大小,钻孔分布,器件布局,并使 山东大学硕士学位论文用反射模拟对部分关键网络进行测试。重点讨论如何进行模拟数字区域分割设计, 尤其其中的电源分割和模拟地数字地桥接设计方法具有较高的工程实践价值与指导意义。关键字:信号完整性,PCB,嵌入式,电路可靠性II 山东大学硕士学位论文ABSTRACTFew years ago,circuit design engineer did not have to consider problems of signal integrity.However,nowadays smaller circuit area,higherdesignercannot neglect suchproblems.Smaller andand highercircuit density,high required layout and big sizecomponents,let engineer difficultly work.Iftechnique,it is hardly to design introduce signaladesign highcircuit basedontraditional designUSproduct withreliability.All of these forcetosignalintegrity analysis technique into ourdesign.Atthe beginninganalyzerules,andthen we load the result into layout tools to finish the product.By this theprocess wecan assuresignalqualityand work efficiently. and conceptionof Sl(SignalIn my thesis,we state the importanceIntegrity).Nextwe introduce fundamentals of PCB,especially we discuss the multiple layers board.Make classificationsof transmission line,analyzing 5 aspects of problems we meet insignalintegrity.Dissert the theories and related formulas.Next chapter,weanalyze allthe aspects ofsignalintegrity.Give each problemapractical solution to split SSN/SSOdesign,groundline,reflections control,crosstalk control,control,EMIusecontrol,and PCB sizeand components layout.aFinally,we electrical meter. Schematicthe theories and instructions inrealproject,to designasmartdiagramis the basis of PCBdesign.Sowe have todesignschematicdiagram firstly.Referenceto functionalities,we divide meter circuit in to measurementcircuit,control circuit and peripheral circuit threeparts.We analyzedigital signalsandanalog signalsin eachpart.Wegive impedance matching,decouplingcapacitors,power filter capacitors signal integritysolutions.Nextwe give detailsdescriptions tochips and design methods used in ten modules.Use Protel DXP to design eachmodule’S schematic diagrams. In the last chapter,based on previous designs,we calculate thelayersparameters of boardand transmissionlineimpedance in OUr design.UsePolaris¥6000 to verify theIII 山东大学硕士学位论文signal integrity of transmission lines.According to the theories of mix-signal design, weon useProtel DXPdesignboard size,drills layout,components layout.Mainly focusthe digitalandanalog district split design.Especially the power line split,digitalground and analoggroundsplit and bridge connection design.Have practical value toproduct engineering.Keywords:signalintegrity,PCB,embedded system,circuit reliabilityW 原创性声明本人郑重声明:所呈交的学位论文,是本人在导师的指导下,独 立进行研究所取得的成果。除文中已经注明引用的内容外,本论文不 包含任何其他个人或集体已经发表或撰写过的科研成果。对本文的研究作出重要贡献的个人和集体,均已在文中以明确方式标明。本声明的法律责任由本人承担。论文作者签名:攀赴…碑牡关于学位论文使用授权的声明本人完全了解山东大学有关保留、使用学位论文的规定,同意学 校保留或向国家有关部门或机构送交论文的复印件和电子版,允许论 文被查阅和借阅;本人授权山东大学可以将本学位论文的全部或部分 内容编入有关数据库进行检索,可以采用影印、缩印或其他复制手段 保存论文和汇编本学位论文。 (保密论文在解密后应遵守此规定)…一:鞋聊躲埤日期:珥他 山东大学硕士学位论文第1章绪论1.1背景介绍现在PCB设计的时间越来越短,越来越小的电路板空间,越来越高的器件密度, 极其苛刻的布局规则和大尺寸的元件使得设计师的工作更加困难。随着电子、通 信技术的飞速发展,高速系统设计在以下几个主要方面的挑战越来越突出,且与以往绝然不同:一集成规模越来越大,I/O数越来越多,单板互连密度不断加大。 一时钟速率越来越高,信号边缘速率越来越快。―产品研发以及推向市场的时间不断减少,一次性设计的成功显得非常重要。以上种种挑战变得使得我们在电路设计中引入了信号完整性(SI)概念。信号 完整性【¨(Signal Integrity,简称SI)是指在信号线上的信号质量。差的信号 完整性不是由某一单一因素导致的,而是板级设计中多种因素共同引起的。主要 的信号完整性问题包括反射、振铃、地弹、串扰等。 若在电路板设计时不考虑SI影响,逻辑功能正确的电路在调试时往往会无法 正常工作。信号完整性分析的重要作用这时就越发清晰地呈现出来,表现在以下几个方面:1.优化硬件原理设计――包括负载拓扑的分析、信号匹配的选型、连接器信号的分布等等。2.解决高速PCB设计难题――不同频率和沿速率的信号质量前期分析 及设计指导;针对阻抗、反射、串扰等传输线效应的控制和设计方案;信号时序的分析和设计指导等等。3.提供信号质量问题的定位分析和诊断――产品出现的信号质量问题 的分析和解决、SI测试验证等。 综上所述,将SI深入地融入到产品开发尤其是高速PCB设计当中,最终为产品设计提供优化的解决方案,已经成了产品成功的关键一环。 山东大学硕士学位论文采用S1分析方法及相关技术的应用,可在PCB设计前期进行信号规则的分析 (如时序和关键信号的分析),然后将分析所得的电气规则输入布线工具进行具体 布线设计,这样既可在设计过程中保证信号质量,又可解放人力、提高设计效率,满足 市场要求。而这也正是现今国际领先的PCB设计方法和流程,脱离了S1分析技术就无法作到这点。1.2课题的实践意义性能和可靠性是一个成功产品的基本保证,在现如今电路设计中,BGA等高密度VLSI芯片给便携式电子产品所需的空间限制的一个可行的解决方案,它同时满足这些产品更高功能与性能的要求。但是,越来越多的VLSI芯片工作在100MHz 的频率以上,信号的边沿越来越陡,这些高速器件性能的增加也给高速系统设计带来了困难【2】。同时,系统的体积不断减小使得印制板的密度迅速提高。这些矛盾 迫使我们在如今的电路设计中需要考虑信号完整性问题,否则基于传统设计方法,我们的PCB将无法工作。因此,高速电路设计技术已经成为电子系统设计师必须采取的设计手段。只 有通过使用高速电路设计技术,才能实现设计过程的可控性,最终产品的可靠性。1.3本文的主要工作信号完整性分析现研究方向包括板级分离元器件电路信号完整性分析和集成 电路信号完整性分析两大方向。板级分离器件电路信号完整性分析是针对常用嵌 入式电子产品电路,集成电路信号完整性分析针对大规模与超大规模电路芯片。 本文主要对板级分离高密度电路的信号完整性进行分析,并将其应用到实际工程之中,所完成的工作主要有以下几个方面:1.对板级信号完整性基本问题中的反射、串扰、SSN、EMI等基本概念进行了的理论分析。2.提出了在产品设计的过程,如何应对常见信号完整性问题,包括对其 进行抑制的方法,设计技术。3.通过详细的计算,并借助EDA工具进行验证与设计,以多功能电表2 山东大学硕士学位论文电路板的完整设计为例,详细探讨了如何在实际设计中运用信号完整 性分析。1.4论文的组织结构第l章讨论了信号完整性的背景与现状。 第2章介绍了板级设计中的信号完整性的常见问题。 第3章介绍了信号完整性各常见问题的应对方案及设计思路,技术。 第4章以多功能电表为实例,介绍了完整性在系统原理图设计中的应用。 第5章在PCB设计中应用信号完整性,并完成电表的PCB设计。第6章对全文进行总结,给出不足和后继工作方向。3 山东大学硕士学位论文第2章板级高速电路中的信号完整性基础一块PCB板中,会遇到各种不同的信号,同一信号也可能有不同的模式。不同的PCB结构及其传输线都对不同模式的信号有不同程度的影响,从而造成了板级信号完整性问题。本章,我们解释高速电路概念中高速的含义,讨论PCB结构,传输线与板级信号完整性的影响, 以及信号完整性常见术语的理论解释。2.1高速数字系统在PCB设计业中有这样一个典型案例【3】,美国有一家公司的一个产品在7年以前己经成功设计并且生产上市。该产品一直以来都能够非常稳定可靠地工作, 但最近从生产线上下来的产品却出现了问题,产品不能工作了。在这之前,工程 师们只是更换一些集成电路芯片的型号,因为旧型号的芯片已经买不到了。所有 新的元器件在功能上与原来的完全相同,只是由于新的集成电路芯片采用了新的 生产制造工艺,体积变小了,开关的速度也变快了。但是整个系统的时钟速度并没有任何改变,还是原来的20MHz. 设计工程师们为此感到困惑了。最后工程师们终于发现,问题就是出在这些新的能够以更快的速度运行的集成电路芯片上。新的集成电路芯片给这个系统带 来了高速数字PCB的设计问题。 这个答案的确让绝大多数人都大跌眼睛:在一个“低速数字系统"中竟然也会 隐藏着“高速数字系统”的问题。那么这个系统究竟是“高速’’还是“低速”? 衡量一个数字电路是否是“高速"的标准不在于系统中的时钟频率,或数字 信号的变化频率,而是在于其中数字信号的上升/下降时间【41。根据对数字信号的 能量频谱分析,数字信号脉冲的绝大多数能量都集中在膝点频率,慨。以下。而膝点频率的计算公式为:‰:娑17(2-1)4 山东大学硕士学位论文其中,1,是数字信号的上升/下降时间。可见,膝点频率,妇。只取决于数字信号的上升/下降时间,和数字信号本身 的频率无关。任何电路,只要具有一直到膝点频率,妇。的平坦的频率响应特性,那么这个数字信号就能基本无损伤地通过这个电路。而高于膝点频率,娩。的电路频率响应特性对数字信号几乎没有任何影响。 通常认为如果数字逻辑电路的频率达到或者超过45M彤≯50MHZ,而且工作在这个频率之上的电路已经占到了整个电子系统一定的份量(比如说1/3),就称 为高速电路。实际上,信号边沿的谐波频率比信号本身的频率高,是信号快速变化的上升 沿与下降沿(或称信号的跳变)引发了信号传输的非预期结果。因此,通常约定如果线传播延时大于1/2数字信号驱动端的上升时间,则认为此类信号是高速信号 并产生传输线效应。信号的传递发生在信号状态改变的瞬间,如上升或下降时间。信号从驱动端 到接收端经过一段固定的时间,如果传输时间小于l/2的上升或下降时间,那么来 自接收端的反射信号将在信号改变状态之前到达驱动端。反之,反射信号将在信 号改变状态之后到达驱动端。如果反射信号很强,叠加的波形就有可能会改变逻辑状态。2.2PCB基本结构印制电路板PCB按基材的性质可分为刚性印制板和挠性印制板两大类。刚性印制板PCB具有一定的机械强度,用它装成的部件具有一定的抗弯能力,在使用时处于平展状态。一般电子设备中使用的都是刚性印制板PCB。 挠性印制板PCB是以软层状塑料或其他软质绝缘材料为基材而制成。它所制 成的部件可以弯曲和伸缩,在使用时可根据安装要求将其弯曲。挠性印制板一般 用于特殊场合,如:某些数字万用表的显示屏是可以旋转的,其内部往往采用挠性印制板。PCB按布线层次可分为单面板、双面板和多层板三类. 山东大学硕士学位论文单面板(单面PCB):绝缘基板上仅一面具有导电图形的印制电路板PCB。它通常采用层压纸板和玻璃布板加工制成。单面板的导电图形比较简单,大多采用丝网漏印法制成。点击查看单面PCB制作方法 双面板PCB:绝缘基板的两面都有导电图形的印制电路板PCB。它通常采用 环氧纸板和玻璃布板加工制成。由于两面都有导电图形,所以~般采用金属化孔使两面的导电图形连接起来。双面板一般采用丝印法或感光法制成。点击查看双 面PCB制作方法多层板PCB:为了增加可以布线的面积,多层板使用了更多的单面或双面的 布线层。多层板使用数片双面板,并在每层板间放进一层绝缘层后压合,各层紧密地结合在一起,一般不太容易看出PCB的层数。电路板的层数就代表了有几层独立的布线层,通常层数都是偶数,包含最外侧的两层。大部分的PCB都是4.8 层的结构,但技术上可以做到近100层的PCB,大型的电子设备(如:巨型计算机) 大多使用多层板。双面板上的过孔,一定都是贯穿整个电路板的。对于多层板, 如果只想连接其中某些线路,贯穿整个电路板可能会浪费一些其它层的线路空间。 埋孔(Buried via)和盲孔(Blind via)技术可以避免这个问题。盲孔连接PCB的内层走线与表面层走线,不须穿透整个电路板;埋孔则只连接PCB的内层走线。在多层PCB中,各层可分为:信号层(Signal),电源层(Power)或地线层(Ground).如果PCB 上的器件需要不同的电源,可以使用多个电源层,或使用电源分割技术,为此也必须使用多个地层来提供电流回路,因此,通常多层PCB会有两层以上的电源层或地线层。多层板能提供多种方式的带状线和微带线结构[51。 多层PCB的优点如下: 1.与集成电路配合使用,可使整机小型化,减少整机重量。2.提高了布线密度,缩小了元器件的间距,缩短了信号的传输路径。 3.减少了元器件焊接点,降低了故障率。4. 5.由于增设了屏蔽层,电路的信号失真减少。引人了接地散热层,可减少局部过热现象,提高整机工作的可靠性。6 山东大学硕士学位论文2.3传输线传输线一般是指PCB上元器件之间互连的导线,即印制线。过去,PCB上的信 号线往往被认为是无损耗的。随着技术的发展,信号工作频率的提高,PCB上的 信号线必须考虑其阻抗对信号质量的影响。对于低速信号,信号的延迟时间比上 升时间小很多,信号传输过程中所产生的反射都将被吸收,不会呈现过冲、下冲和振铃等现象:当信号的延迟大于上升时间的1/6时,信号线必须认为是传输线,走线的长度必须大大缩短,在接收端要有正确的瑞接,以确保信号的完整性【6】。 典型的PCB中用到的传输线是由埋入或者附着在具有一个或多个参考平面的 绝缘材料上的导电迹线构成的,导电迹线一般使用铜材料,最常见的电介质是一种叫“FR4"的玻璃纤维。数字设计系统中最常见的两种传输线结构是微带线和带状线。微带线分为标 准微带线和嵌入式微带线。前者是指PCB外层的走线,它直接贴附在介质平面上 并暴露于空气中。后者是前者的改进,区别在于铜线上覆盖了介质材料。带状线是在两个导电平面结构中被介质材料所包围的传输线,根据它与两个导电平面之 间的距离是否相等又可以分为对称带状线和不对称带状线。微带线为只有一个参考平面的PCB外层走线,传输速度较快。带状线为具有两个参考平面的PCB内层走线,传输速度较慢。微带线一般比带状线具有更高的特性阻抗值。 PCB的布局布线指的是元器件在PCB上的相对位置、信号线的拓扑结构和间距 等,都会影响信号的传输性能。信号线的长度应越短越好,关键的信号(如:时钟 和数据)需要优先布线,有利于保证信号对传输时间的要求。信号层之间要采用交 叉布线,相邻信号线密集而且互为平行线时,会对别的线带来很大的干扰或串扰。2.4POB信号完整性问题的分类信号完整性主要是指信号在信号线上传输的质量,当电路中信号能以要求的时序、持续时间和电压幅度到达接收芯片管脚时,该电路就有很好的信号完整性。当信号不能正常响应或者信号质量不能使系统长期稳定工作时,就出现了信号完7 山东大学硕士学位论文整性问题,关于信号完整性问题的分类,存在着多种不同的区分方法。在有些文献和产品中将信号完整性问题只定义为信号反射和振荡:有的还包括了振铃、地弹、串扰等问题:而更多的则是将信号完整性和电磁兼容干扰作为二个独立的问题来讨论 【7】。其实所有这些问题在广义上讲都是属于信号完整性问题的范畴。 在本文中,我们将广义上讨论的信号完整性问题5个方面基本问题:1.反射信号ReflectedSignalserrors2.延时和时序错误Delay&Timing3.同步切换与同步输出噪声SimultaneousSimultaneous Switching Output NoiseSwitchingNoise/4.串扰Induced Noise(or crosstalk) 5.电磁辐射EMIradiat ion2.4.1反射(RefIection SignaIs)反射就是在传输线上的信号回波‘引。当信号从一个发送端发出经传输线传导 并到达接收端后,如果信号功率(电压和电流)没有完全被接收端接收,而是有一 部分沿着与原来的传输方向相反的方向往发送端传输时,就发生了信号反射。 除了在接收端会有反射外,信号在任何阻抗不连续的地方都会发生反射。 衡量信号反射程度的系数称为反射系数P,其计算公式如下:Ip:鳖:――ylr7删钒zZ,t+-zZoQ(2-2)其中,圪胁为反射电压圪砌为入射电压Z为导致不连续的阻抗 Z0是传输线的特征阻抗 由式(2-2)可见,反射系数和反射电压的大小与阻抗不连续的程度有关,8 山东大学硕士学位论文且一1≤p≤l。 图2-I显示了极端特殊情况下的反射系数。当传输线的端接负载阻抗恰好等于传输线的特征阻抗时(图2-i),不连续性消失,没有反射。端接短路(图2―2)和开路(图2-3)负载的时候,反射都是100%,两者不同在于前者反射信号与原信号相同,后者反射信号与原信号相反。芦墨焱逊篁0 ’Zo+孙图2-!口)五=z0p蕾罟麓躐q图2-2 6)Zf=0。p辫,_吻瓣I ∞t孙图2-3 c)Zf=00再来考虑更为复杂的一种情况:当从接收端反射回去的信号又返回到发送端 时,根据传输线特征阻抗Z。和发送端输出阻抗Z:的不同关系,也会有与上述同样的结果。也就是说当么o≠z,时,信号在将会有二次反射。我们把信号在负载端 的反射系数称为负载反射系数,而在发送端的反射系数则称为源反射系数。如果 信号在传输线上来回反射,还可能出现振铃(Ringing)或环绕振荡(rounding)现象。振铃和环绕振荡现象的表现就是反复出现信号的过冲和下冲。其中振铃属于欠阻尼状态而环绕振荡属于过阻尼状态。无论是振铃还是环绕振荡,都会加剧前面所说的过冲和下冲的问题。由以上分析可知,在高速数字系统中,传输线上的阻抗不匹配会引起信号反9 山东大学硕士学位论文射,进而引起过冲、下冲、振铃和环绕振荡等问题。减小和消除反射的方法就是要根据传输线的特征阻抗,在信号的发送端或接收端进行终端阻抗匹配,从而使 源反射系数或负载反射系数为零。因此传输线的终端阻抗匹配通常也采用两种策 略: 使源反射系数为零,也就是使发送端输出阻抗与传输线阻抗匹配。采用这种 匹配方法需要在靠近发送端的地方串接一些阻抗匹配电路,因此也称为是串联端 接(Series Termination)。使负载反射系数为零,也就是使负载端输入阻抗与传输线阻抗匹配。采用这种匹配方法需要在靠近接收端的地方并联一些阻抗匹配电路,因此也称为是并联 端接(Parallel Termination). 虽然在上面的分析中只要使负载反射系数或源反射系数二者任意一个为零, 反射就能被消除。但是从系统设计的角度看,应首选并联端接。因为并联端接是 在信号能量反射回发送端之前在负载端就消除反射,即消除一次反射,这样可以 减小电磁兼容性干扰(EMI)及射频干扰(RFI)。而串联端接则是在发送端消除由负载端反射回来的信号,只是消除二次反射,所以当信号在发送端发生状态切换时,发送端仍然会出现持续时间为2TD的反射波形。不过由于策略2实现简单方便, 在许多应用中也被广泛采用。理想的情况是当白2么0时,即各点均处于临界阻尼状态,所有的阻抗都是连 续的,不会发生任何反射。信号的能量一半消耗在发送端内阻Zt上,另一半消耗 在负载端电阻Z。,上(传输线无直流损耗)。但是从系统设计的角度来看,临界阻 尼情况很难满足。因为在PCB上,传输线的几何形状、电源层或接地层的分割和分布,以及信号连接中的接插件等因素的均会导致传输线上的阻抗不连续。而发送端i/o通常在高电平和低电平也具有不同的Z,。所以最为可靠和适用的方式是 让信号传输有轻微的过阻尼,因为这种情况没有能量反射回发送端。2.4.2延时和时序错误Delay&Timingerrors我们知道,信号在PCB上的传输线中是以有限的速度传导的。当信号从发送端发出后到达接收端,其间会有一个延迟。这个延迟就是信号的传导延迟 (Propagat ion Delay)。lO 山东大学硕士学位论文信号的传导速度v,信号在单位长度传输线上的传导延迟时间PD(单位为s/M), 以及信号在一条具体的传输线上的传导时间延迟TD(单位为S)的计算公式如下:v:; 胪再 肋:!:正V C旺。3’ (2.3)(2.4)TD:堡:√历C(2.5)其中,c是真空中的光速(3x108m/s) g,是传输线周围的等效介电常数x是传输线的长度L是传输线上总的串联电感C是传输线上总的并联电容对于普通的采用FR-4作为绝缘材料的PCB而言,表层导线(MicroStrip)的传导延迟是140―180ps/in,而内层导线(Strip Line)的传导延迟约为180ps/in。 从以上的分析中可见,信号的传导延迟只取决于导线的长度和导线周围介质的介电常数,而与信号本身的频率无关。但我们同时还看到,信号的上升/下降时 间越短,或者PCB导线的传导延迟越大,导线就越容易表现出传输线效应【91。在低速系统中,传导延迟一般都可以忽略不计,因为在这种系统中L足够大,发送端和接收端的信号状态几乎能够保持一致。但是当系统时钟速率和信号的上 升/下降时间加快时L变短,由于传导延迟而引起的发送端和接收端信号状态差异变大:而同时系统所允许的信号建立时间和保持时间都变短了,所以信号的传导延迟将会对系统的时序(Timing)产生重大影响。如果不对信号的传导延迟加以控制, 数据可能会无法正确地接收,甚至在错误的时钟周期内接收数据,引起系统工作不稳定或不工作。2.4.3同步切换与同步输出噪声(SSN/SSO) 同步切换噪声(SimultaneousSwitchingNoise,简称SSN)或同步切换输出 山东大学硕士学位论文噪声(SimultaneousSwitching OutputNoise,简称SSO)指的是当PCB上的众多数字信号(例如地址总线、数据总线等)同时进行状态切换时所引起的感性噪声【lO】o在有些情况下,单独的一个信号或少数几个信号单独进行状态切换时(如由高 电平变为低电平,或由低电平变成高电平),信号可以保证具有良好的完整性。但是当总线上的所有信号一起作切换时,信号却出现了问题。通常很难对同步切换噪声进行量化分析,因为它主要取决于系统的物理尺寸、元器件布局、电源层和接地层的分割等因素。但是其基本机制类似于下式:‰=心磊dI(2―6)其中N是同时进行切换的信号的个数,Lfof是所有电流所必须流经的回路(包 括集成电路芯片的封装、电源层和接地层等)的等效电感,I是每个信号的电流。 当许多个信号同时进行切换时,会有一个较大的瞬态电流N,I在集成电路芯 片与PCB的电源层及接地层之间流过。因为电流必须流经LrD『这样一个电感,噪声电压‰就会被引入到电源中,即引发电源噪声,然后再反过来表现在输出信号上。当噪声电压‰引入到电源中后,会使地平面(Ov)也产生电压的波动和变化。即瞬间大的电流涌动还会引起地平面反弹噪声(Ground Bounce,简称地弹)。 2.4.4串扰(Cross Talk) 串扰是两条信号线之间通过互感和互容的耦合而引起的容性噪声电流和感性 噪声电压的总和。噪声电流和噪声电压都与噪声源信号的变化速率成正比:信号变 化越快,即信号的上升/下降时间越短,则串扰的噪声越大。与延迟、反射等信号完整性问题不同的是,当PCB上的两个导体或传输线上的信号都在变化时,这 两个导体或传输线都会通过互容和互感向对方耦合噪声,因此这两个导体或传输 线都既是干扰源又同时是被干扰对象。在PCB中,受干扰源产生串扰影响的有时 不止是一条邻近线路,而是周围众多的线路:反过来,被干扰对象将受周围众多干扰源产生的串扰影响。12 山东大学硕士学位论文当噪声源传输线上的电压变化通过互容祸合到被干扰对象传输线上时,被干 扰对象传输线上的容性噪声电流将一分为二分别向靠近噪声源发送端的方向(称为近端Near End)和远离噪声源发送端的方向(称为远端Far End)传输。而当噪声源传输线上的电流变化通过互感祸合到被干扰对象传输线上时,被干扰对象传输 线上的感性噪声电流却总是沿着与噪声源信号电流相反的方向传输。因而被干扰对象的近端串扰(又称后向串扰BackwardrCrosstalk)电流1~和远端串扰(又称前向串扰Forward Crosstalk)电流1归,分别为:L。=』(乙)+乙(巴),细=,加(C卅)一,(L)(2―7) (2―8)对于紧邻着理想地平面的内层传输线(Strip Line),由于它对于感性耦合和容性耦合有着很好的平衡,因此感性耦合与容性耦合产生的电流大小相等、方向rr相反,从而使得远端串扰。如相互抵消,而近端串扰1一一相对加强。而对于表层传,输线(MicroStrip),由于感性耦合的影响要大于容性耦合,从而使得远端串扰1归 极性为负、幅值变大。由此可见,串扰还和方向以及传输线所在的布线层有关。 此外,串扰也与传输线之间的间距及平行走线的长度有关:传输线之间的互 容和互感将随传输线间距的增大而呈指数规律衰减:传输线之间的互容和互感将 随传输线平行走线的长度成正比变化【ll】。 当传输线之间存在串扰时,传输线的有效特征阻抗和传导延迟速度也都将随之发生变化,并且这种变化还同信号切换的模式有关。1.当两个互相耦合的传输线上的信号以相同的幅度和相反的相位(即相差 180。)切换时,称为奇模式(Odd Mode)。在奇模式下,传输线的有效电容将是原来的电容值加上传输线之间的互容,而等效电感将是原来的电感值减去传输线之间的互感。从而,奇模式下的传输线阻抗将小于单根传 输线的阻抗,串扰噪声也相应较小。2.当两个互相耦合的传输线上的信号以相同的幅度和相同的相位(即相差 0。)切换时,称为偶模式(Even Mode)。在偶模式下,传输线的有效电感将13 山东大学硕士学位论文是原来的电感值加上传输线之间的互感,而等效电感将是原来的电容值减去传输线之间的互容。从而,偶模式下的传输线阻抗将大于单根传输线的阻抗,串扰噪声也相应较大。3.对于内层传输线,由于传输线的等效LC乘积值不会随信号的不同切换模式而发生变化,因而信号的传导延迟也不会随串扰而变化。 4.对于表层传输线,由于传输线的等效LC乘积值将会随信号的不同切换 模式而产生变化,因而信号的传导延迟也将会随串扰而变化。在高速数字PCB中,过大的串扰将引起电路中信号畸变、系统时序错误,导致系统无法正常工作。因此随着电子工程师不断把设计推向技术与工艺的极限,对串扰问题进行有效地分析和控制会变得越来越重要。 2.4.5电磁兼容干扰(EMI)电磁干扰(Electromagnetic Interference简称EMI),有传导干扰和辐射干扰两种[12】。传导干扰是指通过导电介质把一个电网络上的信号耦合(干扰)到另一个电网络。辐射干扰是指干扰源通过空间把其信号耦合(干扰)到另一个电网络。在高速PCB及系统设计中,高频信号线、集成电路的引脚、各类接插件等都可能 成为具有天线特性的辐射干扰源,能发射电磁波并影响其他系统或本系统内其他子系统的正常工作。 EMC设计应是任何电子器件和系统综合设计的一部分。它远比试图使产品达 到EMC的其他方法更节约成本14 山东大学硕士学位论文第3章基于信号完整性的高速PCB可靠性设计在传统低速电路的设计中,由于信号边沿速率慢,器件之间的干扰很小,铜 皮导线可以看成理想的传输导线,因此传统PCB设计忽略了许多非理想因素,只 需要考虑一些基本的布局和布线规则【13】。而在高速电路PCB设计,信号边沿速率 快,器件之间的干扰大,铜皮导线往往要视为传输线。许多传统PCB设计中忽略 的因素已经成为影响高速数字系统性能的首要因素。高速电路PCB的设计是一个 非常复杂的设计过程,需要综合考虑制作工艺、系统成本、信号完整性、电源完 整性、电磁兼容、机械设计、热分析等因素对设计的影响。因此在高速PCB设计 中,需要权衡利弊,做出全面的折中考虑,既能满足设计要求,又可以降低设计复杂度。3.1分区设计在分区设计之前必须了解电磁兼容(EMC)的两个基本原则:第一个原则是尽可 能减小电流环路的面积;第二个原则是系统只采用一个参考面。如果系统存在两 个参考面,就可能形成一个偶极天线(注:小型偶极天线的辐射大小与线的长度、流过的电流大小以及频率成正比):而如果信号不能通过尽可能小的环路返回,就可能形成一个大的环状天线(注:小型环状天线的辐射大小与环路面积、流过环路 的电流大小以及频率的平方成正比)。在设计中要尽可能避免这两种情况。 要深入探讨数字信号对模拟信号的干扰必须先了解高频电流的特性。高频电 流总是选择阻抗最小(电感最低),直接位于信号下方的路径,因此返回电流会流 过邻近的电路层,而无论这个临近层是电源层还是地线层。 在实际工作中一般倾向于使用统一地,而将PCB分区为模拟部分和数字部分。 模拟信号在电路板所有层的模拟区内布线,而数字信号在数字电路区内布线。在 这种情况下,数字信号返回电流不会流入到模拟信号的地。只有将数字信号布线在电路板的模拟部分之上或者将模拟信号布线在电路板的数字部分之上时,才会出现数字信号对模拟信号的干扰。出现这种问题并不是因为没有分割地,真正的15 山东大学硕士学位论文原因是数字信号的布线不适当。 PCB设计采用统一地,通过数字电路和模拟电路分区以及合适的信号布线, 通常可以解决一些比较困难的布局布线问题,同时也不会产生因地分割带来的一些潜在的麻烦。在这种情况下,元器件的布局和分区就成为决定设计优劣的关键。 如果布局布线合理,数字地电流将限制在电路板的数字部分,不会干扰模拟信号。 如果必须通过分割之间的间隙布线,可以先在被分割的地之间进行单点连线, 形成两个地之间的连接桥,然后通过该连接桥布线。这样,在每一个信号线的下方都能够提供一个直接的电流回流路径,从而使形成的环路面积很小。采用光隔 离器件或变压器也能实现信号跨越分割间隙。对于前者,跨越分割间隙的是光信 号;在采用变压器的情况下,跨越分割间隙的是磁场。还有一种可行的办法是采 用差分信号:信号从一条线流入从另外一条信号线返回,这种情况下,不需要地 作为回流路径。 在设计数字和模拟混合信号的PCB时,设计过程要注意以下几点:1.将PCB分区为独立的模拟部分和数字部分。2.合适的元器件布局。 3.A/D转换器跨分区放置。 4.不要对地进行分割。在电路板的模拟部分和数字部分下面敷设统一 地。5.在电路板的所有层中,数字信号只能在电路板的数字部分布线。6.在电路板的所有层中,模拟信号只能在电路板的模拟部分布线。 7.实现模拟和数字电源分割。 8.布线不能跨越分割电源面之间的间隙。9.必须跨越分割电源之间间隙的信号线要位于紧邻大面积地的布线层I-_。3.2地线设计在电子产品中,控制干扰的重要方法通常采用接地来实现。将接地和屏蔽正 确结合起来,可解决大部分干扰问题。电子产品中地线结构大致有系统地、机壳16 山东大学硕士学位论文地(屏蔽地)、数字地(逻辑地)和模拟地等(14】。在地线设计中应注意以下几点: 1.正确选择单点接地与多点接地。低频电路中,信号的工作频率小于IMHz,它的布线和器件间的电感影响较小,而接地电路形成的环流 对干扰影响较大,因而应采用一点接地。当信号工作频率大于IOMHz 时,地线阻抗变得很大,此时应尽量降低地线阻抗,应采用就近多点接地。2.将数字电路与模拟电路分开。电路板上既有高速逻辑电路,又有模 拟电路,在划分工作区域的时候就应使它们尽量分开,而两者的地 线不要共用,要分开,并分别与电源端地线相连。对于模拟电路,要尽量加大模拟电路的接地面积。 3.尽量加粗接地线。若接地线很细,接地电位则随电流的变化而产生 变化,致使电路的时钟信号电平不稳,抗噪声性能变坏。因此应将接地线尽量加粗,使它能通过三倍于印制电路板的允许电流,但是过粗的地线,会消耗较多的PCB面积,与如今电路面积越来越小的趋势相悖,所以要在两者之间取一个折中。如有可能,接地线的宽度应大于3mm。4.将接地线构成闭环路。现在的印制电路板上有很多集成电路元件, 尤其遇有耗电多的元件时,因受接地线粗细的限制,会在地结上产 生较大的电位差,引起抗噪声能力下降,若将接地结构成环路,则 会缩小电位差值,提高电子设备的抗噪声能力。在设计只由数字电 路组成的印制电路板的地线系统时,将接地线做成闭环路可以明显 的提高抗噪声能力。3.3反射(RefIec'l:ions)抑制设计在印制传输线终端我们要注意抑制反射干扰,除了特殊需要之外,应尽可能缩短印制线的长度和采用慢速电路【151。必要时可加终端匹配,即在传输线的末端对地和电源端各加接一个相同阻值的匹配电阻。根据经验,对一般速度较快的TTL电路,其印制线条长于lOcm以上时就应采用终端匹配措施。匹配电阻的阻值应根 山东大学硕士学位论文据集成电路的输出驱动电流及吸收电流的最大值来决定。3.4串扰(Crosstalk)抑制设计对于串扰,你可以采取以下两种策略来抑制。一种方法是改变一个或多个影 响耦合的几何参量,例如:线路长度、线路之间的距离、电路板的分层位置。另 ~种方法是利用终端,将单线改成多路耦合线。合理的设计,多线终端能够取消大部分串扰。 设计中,常用的方法是扩大耦合线路间的距离【16】。分离耦合线路能够明显降 低串音干扰。实践证明,后向串音幅值大致和耦合线路间的距离的平方成反比,即耦合线路间距离增加一倍,串音降低四分之三。当后向串音占主要地位时,这个效果更加明显。3.5同步切换噪声(SSN/SSO)抑制设计在直流电源回路中,负载的变化,单独的一个信号或少数几个信号单独进行状态切换时都会引起电源噪声。例如在数字电路中,当电路从一个状态转换为另一种状态时,就会在电源线上产生一个很大的尖峰电流,形成瞬变的噪声电压E171。通常,电源层和接地层的阻抗对同步切换噪声起了最为主要的作用。因此,在高速数字PCB中应该首先保证电路具有连续和完整的电源层及接地层。此外,去耦电容也可以抑制因同步切换噪声及地弹而产生的噪声,是印制电 路板的可靠性设计的一种常规做法,配置原则如下:1.电源输入端跨接一个lO--一lOOuF的电解电容器,如果印制电路板的位置允许,采用lOOuF以上的电解电容器的抗干扰效果会更好。 2.为每个集成电路芯片配置一个0.OluF的陶瓷电容器。如遇到印制电 路板空间小而装不下时,可每4,--,10个芯片配置一个l~lOuF钽电 解电容器,这种器件的高频阻抗特别小,在500kHz'---20MIlz范围内阻抗小于1 Q,而且漏电流很小(0.5uA以下)。 3.对于噪声能力弱、关断时电流变化大的器件和ROM、RAM等存储型器件,应在芯片的电源线(Vet)和地线(GND)间直接接入去耦电容。18 山东大学硕士学位论文4.去耦电容的引线不能过长,特别是高频旁路电容不能带引线。3.6电磁兼容性干扰(EMI)抑制设计电磁兼容性是指电路在各种电磁环境中仍能够协调、有效地进行工作的能力。 电磁兼容性设计的目的是使电路既能抑制各种外来的干扰,又能在特定的电磁环境中能够正常工作,同时又能减少电路本身对其它电路的电磁干扰‘18】。一个完成产品的EMI防护,包括一下五个方面:1.i/o滤波器。2.机壳的屏蔽设计。3.内部电缆的EMI设计。 4.PCB的EMI设计。5.良好的电学与机械设计。再此,我们只讨论PCB电磁兼容干扰性设计。首先要选择合理的传输线宽度。在印制线条上,瞬间电流所产生的冲击干扰主要是由印制传输线的电感成分造成 的,因此应尽量减小印制传输线的电感量。印制传输线的电感量与其长度成正比, 与其宽度成反比,因而短而精的传输线对抑制干扰是有利的。时钟引线、行驱动器或总线驱动器的信号线常常载有大的瞬变电流,印制传输线要尽可能地短。对 于分立元件电路,印制传输线宽度控制在1.5mm左右;对于集成电路,印制传输 线宽度可在0.2"-,1.Omm之间选择。采用平等走线也可以减少传输线电感,但传输线之间的互感和分布电容会增加,如果布局允许,最好采用井字形网状布线结构,具体做法是印制板的一面横向布线,另一面纵向布线,然后在交叉孑L处用金属化孔相连。为了抑制印制板传输线之间的串扰,在设计布线时应尽量避免长距离的平等 走线,尽可能拉开线与线之间的距离,信号线与地线及电源线尽可能不交叉。在 一些对干扰十分敏感的信号线之间设置一根接地的印制线,可以有效地抑制串扰。 为了避免高频信号通过印制传输线时产生的电磁辐射,在印制电路板布线时,还应注意以下几点:1.尽量减少印制传输线的不连续性,例如传输线宽度不要突变,传输19 山东大学硕士学位论文线的拐角应大于90度禁止环状走线等。2.时钟信号引线最容易产生电磁辐射干扰,走线时应与地线回路相靠近,驱动器应紧挨着连接器。3.总线驱动器应紧挨其欲驱动的总线。对于那些离开印制电路板的引 线,驱动器应紧紧挨着连接器。4.数据总线的布线应每两根信号线之间夹一根信号地线。最好是紧紧挨着最不重要的地址引线放置地回路,因为后者常载有高频电流。3.7印制电路板的尺寸与器件的布置印制电路板大小要适中,过大时印制线条长,阻抗增加,不仅抗噪声能力下 降,成本也高;过小,则散热不好,同时易受临近线条干扰【191。在器件布置方面与其它逻辑电路一样,应把相互有关的器件尽量放得靠近些,这样可以获得较好的抗噪声效果。例如时钟发生器、晶振和CPU的时钟输入端都易产生噪声,这些器件要相互靠近些。 对于易产生噪声的器件、小电流电路、大电流电路等应尽量远离逻辑电路,同一电路,可以划分模拟区和数字区,如有可能,可以另做电路板。 山东大学硕士学位论文第4章信号完整性在原理图设计中的应用一个完整的产品PCB设计,是建立在系统的原理图设计之上。原理图,好比是 一块好的PCB产品的蓝图[2们,因此,为了保证产品的可靠性,我们从原理图设计就 要注重信号完整性的应用。本章我们将运用前面章节所分析的理论,具体设计基于ARM平台的电子电能采 集表的原理图,来进一步具体说明基于信号完整性计算机分析的高速数字系统的设计方法。4.1电子式电表系统硬件结构要具体的实现三相电的复功率采集功能,有必要先搭建采集软件的基本硬件 平台的整体,硬件平台模型结构如图4一l所示:’图4-1电子式电表的硬件组成框图整个电子式电能表硬件,以电力采集芯片(ADE7758)和控制芯片(STR912)是整个硬件系统的核心部件。A,B,C三路三相电的电压和电流由ADE7758采集,并在ADE7758内部进行抽样、采集,并存贮入ADE7758内部的寄存器,通过STR912 对寄存器内部的信息进行读取,修改,并通过通信端口传送至上位机软件。2l 山东大学硕士学位论文我们将整个电子式电能表硬件分成三大部分:计量电路、控制核心电路、周边电路。各个部分由若干小模块组成。下面详细讨论各个模块的设计。4.2电表电路原理图设计4.2.1原理图中的信号完整性设计原理图设计中主要考虑反射,地弹效应和电源滤波。 反射处理:对于高速信号线(被视为传输线)要进行阻抗匹配。由于PCB上 面的器件和信号线的密度很大,一般的做法只在源端进行端接处理(因为源端输 出电阻很小,用一个电阻串联在信号线中就可以起到阻抗匹配的作用,而接受端输入电阻很大,需要多个元器件才能起到阻抗匹配的效果,不仅占用空间,还会 消耗额外的电源功率)。 本次设计中具体的匹配电阻选择情况如下: ADE7758输出到锁存器SN74AC08N使用220 Q的匹配阻抗。STR912与以太处理STEIOOP之间使用50E]阻抗匹配。USB与STR912之间使用了220Q的阻抗匹配。地弹效应处理:在原理图设计中对地弹效应的处理时要使用去耦电容。一般的宗旨是IC的每一个电源引脚都要有一个0.OluF’0.1uF的电容(如果一个IC有多个电源引脚,并且离得很近,可以几个电源引脚公用一个电容),对于功耗比较大一点的IC另外还要有一个lOuF’lOOuF的电解电容。 电源滤波:PCB设计时,为了给各器件提供干净均匀的电源,应该使之尽可 能的靠近∥∞,这样就会大幅度的降低系统噪声。电源会带来低频噪声(<IKHz), 在电源上增加lOuF以上的电容能够很好的消除这种低频噪声,同时还可以为电路 的开关提供额外的电流。对于元件上得高频噪声,可以添加0.OluF和0.1uF的电容来滤除。 4.2.2电表电能采集芯片ADE7758原理图设计 山东大学硕士学位论文刚删^L蜘。仪DI柏队M_日"●“曩略图4-2 ADE7758功能框图ADE7758是一种高精确度三相电能测量IC,带有一个串行口,两路脉冲输出, ADE7758集成了数字积分、参考基准电压源,温度敏感元件等,有可用于有功功 率、无功功率、视在功率、有效值的测量以及以数字方式校准系统误差(增益、相 位和失调的信号处理电路。该芯片适用于各种三相电路(不论三线制或者四线制) 中测量有功功率、无功功率、实在功率【21】。 ADE7758有六路模拟量输入,分成电流和电压两个通道。电流通道有3对差 分电压输入,分别是IAP,IAN:IBP,IBN;ICP,ICN。电流经转化为小电压信号 后可从此出采样,这三个通道最大的信号电压变化范围为10.5V。电流通道有一 个可编程增益放大器(CPGAl),放大器增益为1,2或4。前面提到最大输入电压 变化范围为10.5V,利用增益寄存器的三位和四位,ADC的输入电压可以设置为土 0.5V,士0.25V,士0.125V,这是利用ADC的基准参考端来实现的。 电压通道具有三路单端电压输入通道,分别为MAP,VN:VBP,VNcVCP,VNo这些电压输入端的最大输入电压变化范围为士0.5V。相对于vN而言,电流和电 压通道都有一个PGA(可编程放大器),增益为1,2或4,由编程来决定,所有的 输入通道的增益相同。 ADF.7758提供系统的校准功能,例如有效值偏移的校准。相位校准和功率的 山东大学硕士学位论文校准等。引脚(寄存器)APCF的逻辑输出给出了有功功率的信息,引脚、APCF 的输出提供了瞬时无功功率和视在功率的信息。 ADE7758具有一个波形采样寄存器,其值直接来自于ADC的输出。波形采样 部分集成有一个用于短时持续低电平或高电平的监测电路。门槛电平和持续时间 是由用户编程来决定的。三相中的任一相的过零监测是同步进行的。过零监测的结果可用于测量三路电压输入中任一路的周期【221。数据通过ADE7758的串行口读出,中断请求输出端是开漏输出端,低电平有效。 我们在设计ADE7758电路时,需要注意,从外部电路接入的大电流、大电压 并不能直接接入到芯片输入当中,必须经过变换电路转换为小电流、小电压再送入芯片进行计算。电流转换通过电流互感器来实现,电流互感器的精度会影响计量的精确性。 电压的转换可以通过电压互感器,也可以通过大电阻分压。使用电压互感器电压变换精度高,但会影响测量电压的相角,使进入到ADE7758中的电流与电压相角与被拾取的电路中电压和电流的相角有轻微偏移,导致测量数据不十分准确,故我们这里采用电阻分压的方法。三相电流、电压经过被拾取电路,变换成小电压、小电流作为ADE7758的输入,ADE7758将初步计量所得三相电流值、三相电压值、功率值等信息存储到芯片内部寄存器当中。MCU通过SPI总线对其各个寄存器进行访问,得到计量所需的各项数据。 图4-3是以ADE7758为核心的计量电路原理图。24 山东大学硕士学位论文图4-3计量电路电路图4.2.3控制核心电路芯片STR912原理图设计MCU是多功能电表的核心控制部件,MCU的稳定性,决定了整个电表的表现,稳定性。故选择适合的MCU,是一个产品成功的关键。以下是我们选择MCU 所考虑的因素。 1.根据业务的发展需要,多功能电表要求的功能较多,实现实时多任务调 度较多,所以首先要考虑MCU的内核运行频率,程序空间,是否支持 山东大学硕士学位论文嵌入式操作系统。 2.较多的外围芯片要求MCU要有足够的通用I/O口,是否有充足的GPIO 也是考虑因素。3.因为国家规约规定电能表在停电时也能够显示电量等信息,并且能进行 红外抄表,这时就要靠电能表内部的电池,所以停电时的功耗问题非常 突出,这就要求MCU有能满足要求的低功耗模式可选择。4.另外,多功能电能表要求有485通讯接口,这就需要MCU具有串行通 讯接口。5.能量采集芯片7758与MCU的通讯是通过SPI口,故所选择的MCU必 须具有SPI接口。 6.USB也是一个比较新的接口,故我们选在芯片的时候,MCU是否带USB 接口也是我们考虑的一个方面。 7.部分外围芯片有采用,2C接口的,故是否支持,2C接口也是考虑因素。 8.定时/计数器的功能也是其中一项重要的选择依据。9.以太网的普及,使我们考虑使用以太网实现远程抄表的功能,故支持以 太网也是考虑因素之一。将来的可扩展性也是要考虑的因素。10.此外,由于电能表是设计出以后将会成为一个产品,需要考虑最终的成 本,故选择元器件的价格也是一方面重要的参考依据。 最终我们选择了ST公司的ARM9单片工业级微控制器STR912FW44x6。 STR912基于ARM966E.S内核,片内自带Flash,USB,CAN,Ethemet,ADC,UART,RTC等,完全能满足我们的需求。以下是STR91 2主要特征[231。 (1)96MHzARM9E核哈佛结构、5级流水线、TCM存储器96MHz内部Flash运行单周期DSP指令兼容ARM7代码 (2)双组32位Burst Flash,512KB主Flash,32KB从Flash支持内核96MHz工作频率最少100K擦写周期,20年保存(3)32位宽度SRAM 96KB,可电池保持数据 (4)11个通信接口10/100 MAC,MII接口 (5)USB 2.0全速Slave接口 山东大学硕士学位论文(6)CAN2.0接口(7)3个16550兼容UART,带红外协议 (8)2个12C接口(400KHz) (9)2个同步串口(SPI、SSI、Micro wire兼容)(10)8/16位外部总线接口 (11)时钟、复位、电源管理 (12)内部PLL、RTC、看门狗定时器(13)Sleep模式低至50uA(14)多达80个GPIO,(15)5V输入兼容同~端口的位操作(16)向量中断控制器(VIC)(17)32个mQ向量,30个中断引脚(可为快速中断)(18)分支Cache使中断延迟最小 (19)8,8通道10位ADC(2us转换时间) (20)9,3相电机控制器(21)3对PWM输出 (22)紧急停止、死区控制、环(Tach)输入 (23)9个可编程DMA通道 (24)I作温度:.40至+85度(25)支持JTAG、TRACE、ISP 图4_4是以STR912FW44x6为核心的控制电路电路图。27 山东大学硕士学位论文% ̄.nRXf激一一 一一音立 一一《一一一互.―而T_――――雨宵T丽r99 rXDOⅥlI】抽 l∞llO 114Mll,U):、¨I 1\r'1 rnIⅥlI―订F――――雨霄1ci西●、.II(R~翟篆黧嚣一一一 一一一口},.t#害一g∞}∞一手b_t叶耄,辛6_■2锚董耋sc}∞_为田1_u∽n}lo譬at―o口jj■{一季 一呈誊∞r1%z§f}IPn}Z图4-4MCU控制电路电路图4.2.4电表电源原理图设计STR912的核心工作电压是1.8V,I/0工作电压是2.7V至3.6V。ADE7758的核心工作电压是5V。故我们采用集成电压调节器,我们选择LMlll7-5V提供5V 山东大学硕士学位论文电压;LMlll7-3V3提供3.3V电压;LMlll7一lV8提供1.8V电压【24】。在电源电路设计中,我们还是用了铁氧体磁珠,使用铁氧体磁珠,不但可以抑制直流噪声,还可以将交流功率电源线中的高频噪声滤斛251。图4-5是以以上器件为核心设计的电源电路图。《}图4.5电源电路电路图4.2.5电表以太网原理图设计STR912支持MediaIndependentInterface(MII),所以我们选择了STEl00P,也可被称为STEPHYl,是一个高性能的高速以太物理接口。支持10BASE-T和100BASE.似应用。STR912提供兼容IEEE.802.3―2002协议MAC,通过MII提供以太网通信。 但STR912需要通过外部以太物理接口接到以太网络介质上。这里我们使用STElooP连接STI圆12到双绞线以太网络。 山东大学硕士学位论文图4-6,是以STEl00P为核心的以太网电路图【261。妻 耄挣压黧Ⅶ:}{;雠腿馘 稍戮雕 争瞧一獬; 蘸堪薹耋4毒I?‘l}事“黪匪臻妊””ql;碡.杰攀蒎下承莲_謇芏擎婴影嚣幺派n影甚至秽噜、}彳^羹w-基于爹慨}十§t蓑辟f督K薯●。,1.‘譬量}l-f暑{摹雾J|量l■,l《图4石以太网电路图。 山东大学硕士学位论文4.2.6RS485原理图设计RS-485是智能仪表中常用的通信接口。RS-485的特性如下。1.逻辑“1”以两线间的电压差为+(2―6)V表示;逻辑“0”以两线间的电压差为(2―6)V表示。接口信号电平比RS一232一C降低了,就不易损坏接口电路的芯片,且该电平与T孔电平兼容,可方便与订L电路连接。2.RS一485的数据最高传输速率为lOMbps 3.RS-485接口是采用平衡驱动器和差分接收器的组合,抗共模干能力增强,即抗噪声干扰性好。4.RS-485接口的最大传输距离标准值为4000英尺,实际上可达3000米, 另外RS-232-C接口在总线上只允许连接1个收发器,即单站能力。而RS-485接口在总线上是允许连接多达128个收发器。即具有多站能力, 这样用户可以利用单一的RS一485接口方便地建立起设备网络。 因RS-485接口具有良好的抗噪声干扰性,长的传输距离和多站能力等上述优 点就使其成为首选的智能仪表串行接口。因为RS485接口组成的半双工网络,一 般只需二根连线,所以RS485接口均采用屏蔽双绞线传输。RS485接口连接器采用DB-9的9芯接头。 在这次设计中,我们使用MAX485芯片来实现仪表中的RS-485接头。 图4―7是RS485电路图 山东大学硕士学位论文图4.7是RS485电路图4.2.7显示屏原理图设计 显示屏我们采用128x64白色背光黑白COG LCD。COG,是英文、、ChipOn Glass"的缩写。即芯片被直接绑定在玻璃上。这种安装方式可大大减小整个LCD模块的体积,且易于大批量生产,适用于消费类电子产品用的LCD,如:手机、PDA等便携式电子产品。这种安装方式在IC生产商的推动下,将会是今后IC与LCD的主 要连接方式。电表显示屏我们采用焊盘插针的接口,故电路图上只需安装16针插头,LCD直接插上使用即可,通信方式串行接口方式。 图4-8是LCD电路图。32 山东大学硕士学位论文CONl LCD CS LcD RES LCD A0 l 2 3 4 5 6 DB6 SCL DB7 SI 7 8 9 10 1l 12 13 +3V314CS RESET AO45 6 7 8 9 10 11 12 13 VDD VSS U巨D+ I.CDCoanl5Tl广百l15。I-L ‘:}Tit】|eLCD SchomaticSize A4 Date: File: 2008.4-13 Sheetl.SclIDocN1】工由er№ionMttltifll|ctioml E弛ctric Metor Sheet of Drawn By:Oao Yitao图4.8是LCD电路图4.2.8红外线原理图设计 市面上有红外手抄机,可以实现由智能电表中读取数据的功能。故这次设计 中要将红外线功能加入到电表当中。 TFDU4300是一个近距离红外收发传输模块。它兼容最新的红外物理层快速红 外数据传输标准,支持到高达1 15.2kbit/s的速率。支持imm距离的通信[271。 STR912芯片的3个UART端口全部支持红外线编码和解码,所以,只需要将 外部收发器TFDU4300接到STR912的任意UART―Rx和UART~Tx口即可。图4-9为本次设计的红外线电路图。 山东大学硕士学位论文图4.9红外线电路图 4.2.9 USB电路设计STR912提供从模式(slave)的USB2.0接口。我们可以直接把STR912的USBDP和USBPN针脚接到USB头上,就可以实现USB总线的物理和数据链路层。图4.10为所设计的USB电路图。 山东大学硕士学位论文图4.10USB电路图4.2.10铁电FRAIl和实时时钟 在电子式电能表行业中,数据安全保存是最重要的。随着电子表功能的发展, 保存的数据量越来越大,这就需要大容量的存储器,而大容量的EEPROM性能指标 不是很高,尤其是擦写次数和速度影响电能表自身的质量。FRAM是最近几年研制的新型存贮器,它的核心技术是铁电晶体材料,拥有随即存取记忆体和非易失性存贮产品的特性。 FRAM总线频率最高可达1MHz,10亿次以上的读写次数,工耗低。与典型的 EEPROM相比较,FRAM可跟随总线速度写入,无须等待时间,而EEPROM必须等待几毫 秒(ms)才能进行下一步写操作。FRAM可读写10亿次以上,几乎无限次读写。而 EEPROM只有10万至一百万次读写。从比较中看出,FRAM包含了RAM技术优点,同时拥有ROM技术的非易失性特点。在电能表中的使用FRAM,会提高电能表的数据安全存贮特性。在这里,我们 选用铁电FM3130,其内部集成一个RTC,可以用来做电表的精确时间计量[2引。 山东大学硕士学位论文电表计量需量,错峰以及异常情况检测记录,都要涉及到时间的纪录,,时间精度要求正常情况下(200C)误差不超过0.5 S,极端情况下(600C、-800C)误差不超过1 S,MCU芯片内部时钟周期间隔最高只能产生于512Hz的频率,达不到要 求精度。以选用一片外部硬时钟来完成对时间的记录。而FM3130内部集成了~块 RTC,最高支持到32768Hz,完全高于MCU内部时钟,也可满足我们的要求,故我 们使用FM3130内部的RTC作为精确时间记录参考。 图4-11为FRAM铁电电路图。图4.1l FRAM电路图4.2.11JTAG电路设计STR912内部提供了一个IEEE一1149.1 JTAG接口。这个JTAG接口使用六个针。 五个针JTDI,JTDO,JTMS,JTCK和JTRSTn全是标准的IEEE-1 149.1标准。第六 根线,JRTCK,是STR912输出用来平整总外部JTAG测试设备传来的JTCK信号。图4-12为所设计的JTAG电路图。 山东大学硕士学位论文卅一V_n t置n tDl tX;tCKl 3,】● I翼jC量 tDD7 , ll l, l, 17I l● l】l● l‘I}fI。10 1,M,:宣∞●了 [盛【 盛[盛[ 辩[ 且IE一硇srL’磁一仁 产c ≯‰LI丑I,呻】且?Ej∞协T I 劳嚣....LII矗JTAG囊■―讪lSchematic"t-til■-甜D--:200S-●一l,Ia¨t“誓峨■-1日●‘ttMemz图4.12 JTAG电路图37 山东大学硕士学位论文第5章信号完整性设计在PCB设计中的应用为了更好的解决信号完整性问题,只局限于原理图设计是远远不够的,更多的设计工作在PCB的设计中。高速PCB设计具体工作主要包括三个环节:层叠设 置、布局安排以及系统布线。5.1PCB层叠结构选择PCB板层是所有元器件和走线的承载层,我们首先要确定板层的层数,材料,大小等问题。在确定板层参数前,先对智能电表的电器特性和工作环境进行分析。 1.ADE77758属于模拟数字信号混合芯片,STR912为数字,整个系统属于模拟数字混合电路。 2.智能电表PCB板的最高晶振频率是STR912的25MHz晶振。3.STR912的读写建立时间为‘t,a=4ns,PCB板的辐射能量干扰源主要来自于上升和下降沿的切换,故其辐射能量带宽为:l’d21/tpa。1/4ns=250MHz 4.智能电表的工作环境为电力主干网,由于电力线的干扰、电快速顺变(EFT)、电涌、电压变化、闪电顺变和电力谐波等情况,电表的工作会 受到影响。 由上述分析可见,智能电表的工作环境属于高干扰的环境。 本次设计可采用两层板和四层板设计。两层板成本底,但抗干扰性能差,而且要想达到高性能的抗干扰性能,设计亦非常复杂。四层板设计成本教两层板高, 但在抗干扰性方面,要优于两层板【291。考虑到干扰较大的工作环境,以及电表对 最后数据准确度的高要求。我们采用4层电路板。 四层板结构如下。38 山东大学硕士学位论文_B_e_s_t_l_a_y_e_r_fo__r_f1.t_o_:_c_an__ce_l_l-at_i_o_a.(s-l_o_w__s_p_e_e_d_s-i.t1_-al_s_)_一}4. 裂茹...........j!:..........................一第一层:Component Side(器件层),信号及时钟。 第二层:Grounds Plane(地平面)。 第三层:Power Plane(电源)。第叫层:Solder Side(焊接层),信号及时钟。 在多层设计巾,将最高速的时钟布线于相邻的地平面,而不是电源层,可得 到最好的EMI效果。在这里,也就是将主要的信号时钟信号.都布在第一层。 本次设计中四层板拓扑结构如下?------_I_Ml(信号层W“lM2f地平面) ,r履FR4(£n M3{直流电源平 面)图5-2由以上参数,PCB的四层板Layers Stack如下图 山东大学硕士学位论文崮5.35.2传输线当信号在均匀传输线上传输的时候,在任何一处受到的瞬态阻抗都是相同的。 这种均匀传输线都有一个固定不变的阻抗,被叫做特征阻抗,他是描述传输线的 电器特征和信号与传输线相互作用关系的重要参数。 如果沿线的横截面不变,信号沿互联线传播时所受的阻抗就是恒定的,就是 说阻抗式可控的,基于这个原因,我们把均匀横截面传输线称为可控阻抗传输线。 可控阻抗互联线的唯一条件就是:横截面是恒定不变的。 5.2.1传输线阻抗计算 在进行PcB弁线以前,我们要先确定传输线的横截面积,以确定传输线的阻 抗,达到可控阻抗的要求。 我们本次设计,信号传输线是使用袁面Mi cro strjp,其横截面几何结构可 以由如下的公式决定。Z…≯―害三一l。旦8旦ooZ)I-5(而”丽2…4根据工程中的经验,Micro strip的阻抗公式中的参数H、W、t和8,参见图5-2。Microstrip线对应的金属层的标准厚度通常为1.0mil,FR4材质在30MHz情况下的介电常数为8,=4 5,PCB厂商生产的最小走线宽度为5rail,此次设计中我 们规定最小走线宽度为10rail,根据工程的经验,当W-2H的时候,阻抗基本可 控制在5012,将上述参数带入公式5-1, 山东人学硕士学位论文z……,―F兰三一ln―墨!!生。43∞。“…2蕊蚕i亓“。丽而。我们使用阻抗控制软件进一步确认可控阻抗,第一步确立的阻抗模型如下图各项参数列表如下表5-1传输线阻抗验证结果 Su rface Microstrip60 O 50 0 40 0S300 200100 0 0幽5-5阻抗分=f_iHm衷5 1,图5 4的阻抗分枷罔,一T确定蛀后参数取如下值会柏最佳可控阻 抗表现,其参数为 W-10milt=lmliH=6mil5 22传输延迟计算1车播速度咋=c/E=3×108,止西=1单位距离lm的传输延迟时问7×10Rm/s∞21化2 5882ps信号完整性软件验证结果如表5―2所示:表5 2传56j线f}输延迟验证结果故币何距离1m(35 293lrich)传输王_!迟为"106000ps3传输线电容计算C=1D/Z?。=6000/50=、20p} 山尔^学硕士学位论文信号完整性软件验证结果如表5-3所示表5-3传输线lb容验证结果单位距离lm(3 93inch)IB感为120pF5 24传输线电感计算L=TDxZo=6000)(50=300x103”H信号完整性软件验证结果表5-4所示.表5.4传输线电感验证结果故单位距离lm(3 93inch)电感为300nH5 25传输线长度计算 在PCB板上柿线,其止线长度有一限制长度k“,在此长度之内,町保证信号的完整性,其计算公式如下k“2毛tr:电路rp的最快上升时间,为4ns。∥:传输延迟时间,为6000ps。。将上述参数带^5-2,计算得Lm“…”3。”k。=―2x610型00生xlO一-t:z033m经上次计算,我们可知本次设计中,走线的长度最好不要超过33厘米。接下米,我们使用Protel DXP在PCB Rules和Constraints EditOF对话框 山东大学硕士学位论文中定义Routing Width设计规则时,激活CharacteristicImpedance DrivenWidth选项,然后输入所需的最d,/偏好/最大阻抗。以保证设计过程中的信号完整性。5.3电路板大小电路板设计中,长宽为3:2,我们在此采用长9448.819milx6399.213mil (24cmxl6cm)。 接地固定螺丝应满足A/20图5.6固定螺丝距离限制A=%/f=1.7x108/250x106=6.8m间距限制为A/20=6.8/20=34cm本次设计中的板长为24cm<,丸/20,完全满足了设计要求。5.4器件分布布线之前,确定器件的布局,我们根据电路的功能,我们将PCB分为如下ROOM:1- ADE77582.STR912 3.Power 4. Ethernet 山东人学硕士学位论文5JTAG-RTC―KeyBoard6.LCD7 FIlAM8.USB UARTIrDA同时,由于产品电路中包含数字信号和模拟信号电路混合,放我们需要将产 品电路分类,其中ADE7758输入为大电流模拟信号,敞将其放于板子堆左侧.同 其他电路分割,同时也为后继数字地,模拟地的分害4方便,模拟信号区和数字信 号区之『白J,使用光电耦合起跨越分割间隙啪1。各ROOM在PCB上的布局为下图幽5.7PCB各功能ROOM分布按照Room的靠局,元器件分布如图 图5-8 PCB元器件分布5.5走线距离(3-w法则)与反射模拟PCB板上的走线之间回产生串音现象,此现象不仅仅发生在clock及其周期 山东大学硕士学位论文信号上。也会发生在其他的系统线路上。为了减少走线间的耦合现象,提供干净 的路径给信号通量,工程中使用3_W法则口11。3-w内容为:走线间的分隔距离应 该三倍于走线的宽度,由中线到中线测量。.[竺!>[竺竺>―一至2WⅪ一图5-9 3w祛则.本次设计中,走线宽度为10rail,故走线问的距离应至少为20mil。 在protel DXP中走完部分关键线路后,我们可以使用Protel DXP中自带的 反射模拟器,通过来自PcB或指定的缺省布线特性和层信息,以及相应的驱动和 接收I/0缓冲模型来计算网络节点电压,来分析耦合网络问的干扰。在图5―10的反射分析结果上,我们可以看到分析UART与ETHERNET吲网络的图表,网络中每个管脚的波形图。图5.10UART与ETHERNET阿络之间的反射模拟结果 山东人学硕}学位论文56电源分割这个电路电源分为j类,其电源分类立u F。+l +3 +5v8vSTR912FW44x63v:STEl00P,FMI 130,LCD,LART,IrDAJTAG,ADE7758,USB酣5-II电源分割囝最终power plane如图5―1l。 蓝色为+5V,紫色为+IV8,绿色为+3V357返回地平面面分割返回地平面我们将其分为三类,包括:I 2 3ADE7758模拟地 ADE7758数字地 STR912纯数字地我们将返回地平面切割为两人块,ADE7759的地平而和STR912的地平而,两 者之间通过光耦合器件连接,以达到最大的去除干扰的效果。 山东大学硕士学位论文地平面分配阿如下圈5-12返川面分割幽其中淡蓝色与紫色(左下角)为ADE7758返回地平面,黄色(右侧与上方) 为STR912的返回地平面。 其中ADE7758返回电路又非为DOND和AGND,左面部分为AGND,右面 为DGND,参见图5―12。 11 1:!!塑.I.鲨!!一崮5-1 3模拟地与数宁地分削川通过ADE7758 F而的桥蹄连接,参见罔5-13阁5-14模拟地‘0数字地之间的桥接 山东大学硕士学位论文5.8布线后生成的PCB版5―15PCB正面图 山求大学硕士学位论文 山东大学硕士学位论文第6章结论在充分运用电子线路和信号完整性的基础上,我们完成了多功能电表的电路板设计,这也是一个可靠的嵌入式系统的重要硬件起点。但是,由于时间和资金问题,没有完成完整的整机电路焊接测试,以检测电路板在复杂交流电环境中的 工作稳定性。要想进一步提高整机PCB的可靠性,下~步工作一方面可以利用软 件Hyperlynx对电路的信号完整性和电源完整性进行仿真与分析。其次,采用适 合高频,高速逻辑信号的特性阻抗板来实现更高的可靠性。 山东大学硕士学位论文参考文献[1]StephenH.Hall,Garrett W.Hall,JamesA.McCall,伍薇等译高速数Digital System字系统设计:互联理论和设计实践手册(High―SpeedDesign―A Handbook of Interconnect Theory and DesignPractices)机械工业出版社,2005:1-4[2]杨士元数字系统的故障诊断与可靠性设计(第2版)清华大学出版社,2001:13[3]龚海峰,陈进朱红卫基于信号完整性分析的高速数字PCB的设计方法电子工程专辑,2002 [4]Howard W.Johnson,Martin Graham,沈立,朱来文,陈宏伟译高速数字设 计(High―SpeedDigital Design,A Handbook of Black Magic)电子工业出版社,2003年:1-5[5]曾峰,侯亚宁,曾凡雨编著印刷电路板(PCB)设计与制作电子工业出版社,2002:2―3[6]刘振安微型机应用系统抗干扰技术人民邮电出版社,1991:19 [7]王幸之单片机应用系统抗干扰技术北京航空航天大学出版社,2000:55 [8]葛瑞表面安装和高密度印制线路板印制电路板与贴装,1997:43-49[9]李元山高难度PCB的生产与设计印制电路信息,1995(6):27-30. [10]Mark I.Montrose,刘元安译电磁兼容和印刷电路板(理论设计和布线) 民邮电出版社,2002:133 [11]DouglasBrooks,Signal Integrity Issues and Printed Circuit Board人Design,Pearson Education,2003:75―76[12]李玉山信号完整性分析印制电路信息,2005(12):13-16. 113]夏军成高速数字设计中的信号完整船舰电子对抗,2003,V0126,N04:10-11[14]HermannKopetz,REAL―TIME SYSTEM:Design Principles for Distributed 山东大学硕士学位论文Embedded Applications,Kluwer Academic Publisher,2002Brooks,Doug,(ESR―BypassCapsandDecoupl ingStrategies),PCBWESTCONFERENCEPROCEEDING 2002:1 19―140[15]Brooks,Ooug,(ESR―BypassCONFERENCECapsand Decoupl ingStrategies)PCBWESTPROCEEDING2002[16]许晓平PCB设计标准教程北京邮电大学出版社,2008:2[1 7]胡海欣高速PCB板级信号完整性问题研究中国学位论文全文数据库,20041996, 237―244[18]毛楠,孙英电子电路抗干扰实用技术国防工业出版社,[19]S.Zhao,C.Koh,andK.Roy.Decoupling capacitance allocation and its supply noise Design ofawareapplication to poweronfloor planning.IEEE Trans. Circuits and Systems,Computer―AidedIntegrated21(1):81―92,2002.[20]朱邦田电子线路抗干扰技术手册北京科学技术出版社,1988[21]ANALOGDEVICE,ADE7758 Specifications Datasheet,2004[22]郭金英,鲁国才,张雁,徐民,卢兴远,吴维一多功能电能表通信规约中华人民共和国电力工业部,1998[23]STCorp.,STR91xF ARM9 UM0216 Reference manual,2005 Electronics Corp.,1A Low Dropout Positive Voltage Regulator[24]CYStechLMlll7L3,2005[253Stephen C.Thierauf,High―speed Circuit Board Signal Integrity,Artech House,Inc.2004[26]ST Corp.,10/100 [27]CISHAYFAST ETHERNET 3.3V TRANSCEIVER,2001Corp.Infrared TransceiverModule(SIR,1 15.2 kbit/s)forIrDA@appl icat ions,2006[28]RAMTRoNCorp.FM3 1 30 Datasheet。2007[293文U烨铭,曹跃胜高速多层板过孔分析与仿真计算机工程与设计,2008 [30]ChristopherT.Robertson,Printed Circuit Board Designer’S Reference:Basics,Prentice Hal 1 PTR,200355 山东大学硕士学位论文[31]Altium [32]AltiumLimited,Impedance Controlled Routing,2006 Limited,Signal Integrity in DXP,2002 山东大学硕士学位论文致谢在完成硕士毕业论文,准备迎接毕业之际,回想研究生阶段的学习生活,我 衷心感谢我的导师贾智平教授,贾老师待人正直诚恳、关爱学生,在学术上工程 上都有很深的造诣。他深厚的理论素养、渊博的知识、严谨的治学态度,极大地 感染了我,对我的专业学习、课题研究、论文选题和撰写都给与了极大的关注和悉心指导,这一切都让我感动铭记和受益终身的。我还要感谢在实验室的带领我们团队的戴博士,亦师亦友,提供各种便利的学术资源给我,指点我如何进行学 术研究方面的经验。还有实验室里的各位学兄,大家一起共勉成长的日子,终身难忘。在此对我的老师,朋友,同学们谨致以诚挚的敬意和衷心的感谢157
更多相关文档}

我要回帖

更多关于 pd1抑制剂购买途径 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信