如何用维持阻塞d触发器方式来实现2个触发器电路

D触发器原理之低调的真相
> D触发器原理之低调的真相
D触发器原理之低调的真相
  导读:触发器又称为双稳态多谐振荡器,是一种可以存储电路状态的电子元件,广泛用于运算器、计算器、存储器中。最简单的触发器便是RS触发器了,相信大家都有所了解,今天在此基础上,我们一起来八一八原理的真相。本文引用地址:一、原理- -简介  (data flip-flop)也称为维持-阻塞边沿D触发器,由六个与非门组成,其电路图及其逻辑符号如下图所示。其中G1和G2构成基本的RS触发器,G3和G4构成时钟控制电路,G5和G6组成数据输入电路。由于 分别为复位端和置位端,在分析D触发器工作原理时均视为高电平,以保证不影响电路工作。二、- -钟控D触发器  在分析维持-阻塞边沿D触发器的工作原理之前,让我们先来看看 的复位功能 的置位功能是如何实现的吧。  电路中的 端分别完成复位功能和置位功能,均为低电平有效,即 时,不论输入数据D处于什么状态,其输出端都为 ;而当 时,不论输入数据D处于什么状态,其输出端都为 。具体工作原理如下:  (1)当 时,G2输出端为1,即 ;与此同时, 的低电平到达G6的输入端,使得G6输出端为1,G5输出端为0,G3输出端为1,此时G1的三个输入都为高电平,从而导致其输出端为低电平,即Q=0。完成了触发器复位的功能。  (2)当 时,G1的输出端为1,即Q=1;与此同时, 的低电平到达G5的输入端,使得G5输出端为1,当CP=1时,G3输出端为0,G4输出端为1,此时G2的三个输入都为高电平,从而导致其暑促段为低电平,即 。完成了触发器置位的功能。三、- -边沿D触发器  根据以上对钟控触发器的分析可知, 的复位功能和 的置位功能与CP信号无关,均为低电平时有效,而当 、 均为高电平时,输出端状态取决于输入端D,其工作原理如下:  (1)在D=0前提下,G6输出端为1。当CP=0时,G3、G4输出端都为1,G5输出端为0,使得G3输出端恒为1,保持不变;当CP由0变为1时,G3保持输出端为1,G4输出端变为0,从而导致 ,而G4输出端连接到G6的输入端,使得G6输出端恒为1,在改变D时也保持不变。故将G4到G6的连接线称为置0维持线,故将G3到G4的连接线称为置0阻塞线。  (2)在D=1前提下,当CP=0时,G3、G4输出端都为1,G6输出端为0,使得G4、G5输出端恒为1,保持不变;当CP由0变为1时,G3输出端变为0,从而导致 ,而G3的输出端连接到G5的输入端,使得G5输出端恒为1,在改变D时也保持不变。故将G3到G5的连接线称为置1维持线,G5到G6的连接线称为置1阻塞线。  根据以上分析可知,该边沿触发器的特性方程为 。由于采用了维持阻塞的结构,当时钟信号CP的上升沿到来时,将D的数据送到输出端,具有边沿触发的特性,而在CP信号上升沿之后,即使D的数据发生了改变,输出端也不会发生改变。  小编在这再为您奉上几篇关于的精美文章,敬请关注~~~  1、  2、  3、  4、
电气符号相关文章:
分享给小伙伴们:
我来说两句……
最新技术贴
微信公众号二
微信公众号一【图文】5.3.2
维持阻塞触发器_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
维持阻塞触发器
&&康华光 第五版 数字电子技术基础教案
大小:1.37MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢> 问题详情
由两个维持阻塞型D触发器组成的电路如图(a)所示,设Q1Q2的初始态是 00,已知CP脉冲波形,Q2的波形是
悬赏:0&答案豆
提问人:匿名网友
发布时间:
由两个维持阻塞型D触发器组成的电路如图(a)所示,设Q1Q2的初始态是 00,已知CP脉冲波形,Q2的波形是图(b)中的()。请帮忙给出正确答案和分析,谢谢!
为您推荐的考试题库
您可能感兴趣的试题
1某放大电路如图所示,已知三极管电流放大系数β=50,UBE=0.6V,静态时 UCE等于(&&)。&&A.9.2VB.7.6VC.6.8VD.8.3V2理想运放电路如图所示,当输入电压ui=6V,输出电压uo=(&&)。&&A.2VB.3VC.6VD.9V3如图所示电路,A、B端输入信号均为方波,DA、DB是理想二极管,当K点电位等于3V时,三极管饱和导通,则该电路是一个(&&)。&&A.与门B.非门C.与非门D.或非门4电路如图所示,当开关S断开时,电路的运算关系是(&&)。&&A.uo=-6uiB.uo=5uiC.uo=-4uiD.uo=9ui
我有更好的答案
相关考试课程
请先输入下方的验证码查看最佳答案
图形验证:
验证码提交中……
找答案会员
享三项特权
找答案会员
享三项特权
找答案会员
享三项特权
选择支付方式:
支付宝付款
郑重提醒:支付后,系统自动为您完成注册
请使用微信扫码支付(元)
支付后,系统自动为您完成注册
遇到问题请联系在线客服QQ:
请您不要关闭此页面,支付完成后点击支付完成按钮
遇到问题请联系在线客服QQ:
恭喜您!升级VIP会员成功
常用邮箱:
用于找回密码
确认密码:当前位置: >>
触发器与时序逻辑电路介绍
第8章 触发器与时序逻辑电路学习要点?触发器的工作原理及逻辑功能?时序逻辑电路的分析方法?寄存器、计数器的工作原理及构成?555定时器的工作原理及其应用 第8章 触发器与时序逻辑电路 8.1 双稳态触发器8.2 寄存器8.3
计数器8.4 555定时器 8.1 双稳态触发器触发器是构成时序逻辑电路的基本逻辑部件。 ? 它有两个稳定的状态:0状态和1状态; ? 在不同的输入情况下,它可以被置成0状 态或1状态; ? 当输入信号消失后,所置成的状态能够保 持不变。 所以,触发器可以记忆1位二值信号。根据逻 辑功能的不同,触发器可以分为RS触发器、 D触发器、JK触发器、T和T?触发器;按照结 构形式的不同,又可分为基本RS触发器、同 步触发器、主从触发器和边沿触发器。 8.1.1 RS触发器1、基本RS触发器信号输出端,Q=0、Q=1的状态称0 状态,Q=1、Q=0的状态称1状态,Q Q电 路 组 成 和 逻 辑 符 号Q & & SDQ RDSD (a) 电路组成RD (b) 逻辑符号信号输入端,低电平有效。 工作原理Q01QRDSDQ0& &10SD10RD(1) RD ? 0 、 S D ? 1 。由于 RD ? 0 ,不论 Q 为 0 还是Q Q 1,都有Q ? 1 ;再由 S D ? 1 、 ? 1 可得 ? 0 。即不论触发器原来处于什么状态都将变成 0 状态,这种情况称将触 R 发器置 0 或复位。由于是在D 端加输入信号(负脉冲)将R 触发器置 0,所以把 D 端称为触发器的置 0 端或复位端。 Q10QRDSDQ0& &1 0011RDSD01(2) RD ? 1 、 S D ? 0 。由于 S D ? 0 ,不论 Q为 0 还是Q 1,都有 Q ? 1 ;再由 RD ? 1 、 Q ? 1 可得 ? 0 。即不论触发器原来处于什么状态都将变成 1 状态,这种情况称将触 S 发器置 1 或置位。由于是在D 端加输入信号(负脉冲)将S 触发器置 1,所以把 D端称为触发器的置 1 端或置位端。 Q1 00 1QRDSDQ0& &1 0 101 不变1 1RDSD11(3) RD ? 1 、 S D ? 1 。根据与非门的逻辑功能不难推 知,当 RD ? 1 、 S D ? 1 时,触发器保持原有状态不变, 即原来的状态被触发器存储起来,这体现了触发器具有 记忆能力。 Q1?1QRDSDQ0&1 0 101 不变 不定&1 1RDSD0000(4) RD ? 0 、 S D ? 0 。这种情况下两个与非门的输出端 Q 和 Q 全为 1,不符合触发器的逻辑关系。并且由于与非门延 迟时间不可能完全相等,在两输入端的 0 信号同时撤除后, 将不能确定触发器是处于 1 状态还是 0 状态。所以触发器不 允许出现这种情况,这就是基本 RS 触发器的约束条件。 RDSD功 能 表Q 不定 0 1 不变功能 不允许 置0 置1 保持0 0 1 10 1 0 1基本RS触发器的特点(1)触发器的次态不仅与输入信号状态有关,而且与触 发器原来的状态有关。 (2)电路具有两个稳定状态,在无外来触发信号作用时, 电路将保持原状态不变。 (3)在外加触发信号有效时,电路可以触发翻转,实现 置0或置1。 (4)在稳定状态下两个输出端的状态和必须是互补关系, 即有约束条件。 2、同步RS触发器Q QG1 & SD G3 && G2 RD & G4 Q Q SD S C R RDS (a)CR (b) 逻辑符号电路构成C=0时,触发器保持原来状态不变。 C=1时,工作情况与基本RS触发器相同。 功能表C 0 1 1 1 1 R × 0 0 1 1 S × 0 1 0 1 Qn+1 Qn Qn 1 0 不定 功能 保持 保持 置1 置0 不允许在数字电路中,凡根据输入信号R、S情况的 不同,具有置0、置1和保持功能的电路,都 称为RS触发器。 主 要 特 点 波 形 图(1)时钟电平控制。在CP=1期间接收输入信号, CP=0时状态保持不变,与基本RS触发器相比,对触 发器状态的转变增加了时间控制。 (2)R、S之间有约束。不能允许出现R和S同时为1 的情况,否则会使触发器处于不确定的状态。1 C R S Q不 变234置 1不 置 变 0不 置 不 变 1 定 3、计数式RS触发器Q S CQ R设触发器的初始状态为0。根据同步RS触发器的逻辑功能可 知,第1个时钟脉冲C到来时,因R=Q=0、S=Q=1,所以触发器状态翻转为1,即R=Q=1、S=Q=0;第2个时钟脉冲C到来时,触发器状态翻转为0,即R=Q=0、S=Q=1。由此可见, 每输入一个时钟脉冲C,触发器状态翻转一次,故称为计数式RS触发器,计数式触发器常用来累计时钟脉冲C的个数。 8.1.2 D触发器1、同步D触发器Q Q Q QG1 & G3 & S 1& G2 & G4 RG1 & G3 & S& G2 Q & G4 R D C QC D (a) D 触发器的构成DC (c) 逻辑符号(b) D 触发器的简化电路C=0时触发器状态保持不变。C=1时,根据同步RS触发器的 逻辑功能可知,如果D=0,则R=1,S=0,触发器置0;如果 D=1,则R=0,S=1,触发器置1。 Q波 形 图CP D Q Qn ?1?DCP=1期间有效在数字电路中,凡在CP时钟脉冲控制下,根据输 入信号D情况的不同,具有置0、置1功能的电路, 都称为D触发器。 2、维持阻塞D触发器SD & G5 D & G6 & G3 & G4 RD C & G1 & G2QQ(1)D=0。当C=0时,G3、G4 和G6的输出均为1,G5输出为0, 触发器的状态不变。当C从0上跳为1,即C=1时,G3、G5和G6 的输出不变,G4输出由1变为0,使触发器置0。 (2) D=1。当C=0时,G3和G4的输出为1,G6的输出为0,G5 的输出为1,触发器的状态不变。当C=1时,G3的输出由1变为 0,使触发器置1。 维持阻塞D触发器具有在时钟脉冲上升沿触发的持点,其逻辑功能为:输出端Q的状态随着输入端D的状态而变 化,但总比输入端状态的变化晚一步,即某个时钟脉冲来到之后Q的状态和该脉冲来到之前D的状态一样。即有:Qn+1=D C上升沿时刻有效逻辑符号1SD D C RD Q波形图2 3 4 C DQQ 8.1.3 主从JK触发器主 Q1 SD S2 触 C2 发 R2 器 Q1 RDJ C K1&S1 C1 &R1从 Q2 触 发 器 Q2Q Q Q Q SD J C K RD10(a) 电路 (b) 逻辑符号工 作 原 理(1)接收输入信号的过程。 C=1时,主触发器被打开,可以接收输入信号J、K,其 输出状态由输入信号的状态决定。但由于C=0,从触发 器被封锁,无论主触发器的输出状态如何变化,对从触 发器均无影响,即触发器的输出状态保持不变。 0J C K&S1 C1 &R1主 Q1 SD S2 触 C2 发 R2 器 Q1 RD从 Q2 触 发 器 Q2Q Q11(2)输出信号过程 当C下降沿到来时,即C由1变为0时,主触发器被封锁,无论 输入信号如何变化,对主触发器均无影响,即在C=1期间接 收的内容被存储起来。同时,由于C由0变为1,从触发器被 打开,可以接收由主触发器送来的信号,其输出状态由主触 发器的输出状态决定。在C=0期间,由于主触发器保持状态 不变,因此受其控制的从触发器的状态也即Q、Q的值当然 不可能改变。 J C K&S1 C1 &R1主 Q1 SD S2 触 C2 发 R2 器 Q1 RD从 Q2 触 发 器 Q2Q Q逻 辑 功 能 分 析1(1)J=0、K=0。设触发器的初始状态为0,此时主触 发器的R1=0、S1=0 ,在C=1时主触发器保持0状态不变; 当C从1变0时,由于从触发器的R2=1、S2=0,也保持为 0状态不变。如果触发器的初始状态为1,当C从1变0 时,触发器则保持1状态不变。可见不论触发器原来的 状态如何,当J=K=0时,触发器的状态均保持不变。 J CP K&S1 C1 &R1主 Q1 SD S2 触 C2 发 R2 器 Q1 RD从 Q2 触 发 器 Q2Q Q1(2)J=0 、 K=1。设触发器的初始状态为0,此时主触发器 的R1=0、S1=0 ,在C=1时主触发器保持0状态不变;当C从1 变0时,由于从触发器的R2=1、S2=0,也保持为0状态不变。 如果触发器的初始状态为1,则由于R1=1、S1=0,在C=1时将 主触发器翻转为0状态;当C从1变0时,从触发器状态也翻 转为0状态。可见不论触发器原来的状态如何,当J=0、K=1 时,输入时钟脉冲C后,触发器的状态均为0状态。 J CP K&S1 C1 &R1主 Q1 SD S2 触 C2 发 R2 器 Q1 RD从 Q2 触 发 器 Q2Q Q1(3)J=1 、 K=0。设触发器的初始状态为0,此时主触发器 的R1=0、S1=1 ,在C=1时主触发器翻转为1状态;当C从1变0 时,由于从触发器的R2=0 、S2=1,翻转为1状态。如果触发 器的初始状态为1,则由于R1=0、S1=0,在C=1时主触发器状 态保持1状态不变;当C从1变0时,由于从触发器的R2=0 、 S2=1,从触发器状态也状态保持1状态不变。可见不论触发 器原来的状态如何,当J=1、K=0时,输入时钟脉冲C后,触 发器的状态均为1状态。 J CP K&S1 C1 &R1主 Q1 SD S2 触 C2 发 R 器 Q1 RD 2从 Q2 触 发 器 Q2Q Q1(4)J=1 、 K=1。设触发器的初始状态为0,此时主触发器 的R1=0、S1=1 ,在C=1时主触发器翻转为1状态;当C从1变0 时,由于从触发器的R2=0 、S2=1,翻转为1状态。如果触发 器的初始状态为1,则由于R1=1、S1=0,在C=1时将主触发器 翻转为0状态;当C从1变0时,由于从触发器的R2=1、S2=0, 从触发器状态也翻转为0状态。可见不论触发器原来的状态 如何,当J=1、K=1时,输入时钟脉冲C后,触发器的状态必 定与原来的状态相反。由于每来一个时钟脉冲C触发器状态 翻转一次,所以这种情况下的JK触发器具有计数功能。 JK 0 1 0 1Q n ?1 Qn功能 保持 置0 置1 翻转功 能 表0 0 1 10 1QnCP波 形 图J K Q 8.1.4 触发器逻辑功能的转换在双稳态触发器中,除了RS触发器 和JK触发器外,根据电路结构和工 作原理的不同,还有众多具有不同逻 辑功能的触发器。根据实际需要,可 将某种逻辑功能的触发器经过改接或 附加一些门电路后,转换为另一种逻 辑功能的触发器。 JK触发器→D触发器D 触发器的功能表 D 0 1D 1 CP (a) 电路 J C K Q QQ n ?1功能 置0 置1SD D C RD Q0 1Q(b)逻辑符号D 触发器的构成及其逻辑符号 JK触发器→T触发器T 触发器的功能表 T 0 1Q C K CP QQ n ?1Qn功能 保持 翻转SD T C RDQnT 1JQQ(a) 电路 (b)逻辑符号 T 触发器的构成及其逻辑符号 T'触发器的逻辑功能:每来一个时钟脉冲翻转一次。D触发器→T'触发器D CP C Q QJK触发器→T'触发器1 J C K CP Q Q 8.2 寄存器在数字电路中,用来存放二进制数据或代码的电路称 为寄存器。寄存器是由具有存储功能的触发器组合起来构成的。 一个触发器可以存储1位二进制代码,存放n位二进制 代码的寄存器,需用n个触发器来构成。 按照功能的不同,可将寄存器分为数码寄存器和移位 寄存器两大类。数码寄存器只能并行送入数据,需要 时也只能并行输出。移位寄存器中的数据可以在移位 脉冲作用下依次逐位右移或左移,数据既可以并行输 入、并行输出,也可以串行输入、串行输出,还可以 并行输入、串行输出,串行输入、并行输出,十分灵 活,用途也很广。 8.2.1 数码寄存器Q0 Q0 F0 D C CP D0 D1 D2 D3 Q1 Q1 F1 D C Q2 Q2 F2 D C Q3 Q3 F3 D C无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP 上升沿到来,加在并行数据输入端的数据D0~D3,就立即被 送入进寄存器中,即有:Q Q Q Qn ?1 3n ?1 n ?1 2 1n ?1 0? D3 D2 D1D0 8.2.2 移位寄存器1、4位右移移位寄存器右移 输入 D 移位 脉冲 Q0i并行输出Q2 Q3 D Q C F3 Q 右移 输出Q1 D Q D2F C 2 QD0D Q C F0 QD Q D1 C F1 QC 清零 RD 脉冲在存数操作之前,先用RD(负脉冲)将各个触发器清零。 当出现第1个移位脉冲时,待存数码的最高位和4个触发器 的数码同时右移1位,即待存数码的最高位存入Q0,而寄存 器原来所存数码的最高位从Q3输出;出现第2个移位脉冲时, 待存数码的次高位和寄存器中的4位数码又同时右移1位。 依此类推,在4个移位脉冲作用下,寄存器中的4位数码同 时右移4次,待存的4位数码便可存入寄存器。 右移 输入 D Di D0 C C 移位时钟脉冲Q0 F0 Q0 Q0 D1 D C F1 Q1 Q1Q1 D2 D C F2 Q2 Q2Q2 D3 D C F3Q3 Q3 右移 输出 Q3输入 Di 1 CP ↑n Q0现态次态n Q3 n Q0 ?1Q1n0 0 1 1n Q2Q1n ?10 1 1 1n Q2 ?1n Q3 ?1说明0 1 1 10 0 0 10 0 0 01 1 1 10 0 1 10 0 0 1 连续输入 4个 11  ↑ 1  ↑ 1  ↑ 2、4位左移移位寄存器Q0 左移输出 D0 D C F0 Q0 Q0 D1 D C F1 Q1 Q1 D2 D C Q1 F2 Q2 Q2 D3 D C Q2 F3并行输出Q3 Di左移输入 Q3 Q3C 移位时钟脉冲输入 Di 1 CP ↑n Q0现态次态n Q3 n Q0 ?1Q1n0 0 1 1n Q2Q1n ?10 0 1 1n Q2 ?1n Q3 ?1说明0 1 1 10 0 0 10 0 0 00 0 0 10 1 1 11 1 1 1 连续输入 4个 11  ↑ 1  ↑ 1  ↑ 例 电路如图所示。设电路的初始状态为Q0Q1Q2=001 ,试 画出前8个时钟脉冲C作用期间Q0、Q1、Q2的波形。Q0 D C C Q F0 D C Q F1 Q1 D C Q F2 Q2C 0 1 2 3 4 5 6 7 8 Q0 0 1 0 0 1 0 0 1 0 Q1 0 0 1 0 0 1 0 0 1 Q2 1 0 0 1 0 0 1 0 0解 根据电路的接法和右移移位寄存器 的逻辑功能,可列出图示电路的逻辑 状态表。按照状态表即可画出Q0、Q1、 Q2的波形。1 2 3 4 5 6 7 C Q0 Q1 Q28 例 电路如图所示。设电路的初始状态为Q0Q1Q2=000 ,试 画出前8个时钟脉冲C作用期间Q0、Q1、Q2的波形。 Q0 Q1 Q2 解 触发器的驱动方程: ≥1 F0 : D0 ? Q1 ? Q2 F1 : D1 ? Q 0 D Q D Q D Q D 2 ? Q1 F:2CC电路的状态表:CC 0 1 2 3 4 5 6 7 8 Q0 0 1 1 1 0 0 1 1 1 Q1 0 0 1 1 1 0 0 1 1 Q2 0 0 0 1 1 1 0 0 1 D0 1 1 1 0 0 1 1 1 1 D1 0 1 1 1 0 0 1 1 1 D2 0 0 1 1 1 0 0 1 1F0F1C F2电路的波形图:1 C Q0 Q1 Q2 2 3 4 5 6 7 8 VCC Q0 Q1 Q2 Q3CP M1 M010 9Q0 Q1 Q2 Q316151413 12 11 74LS194CR CP 74LS194 DSRM1 M0 DSL12345678CR DSR D0 D1 D2 D3 DSL GND (a) 引脚排列图D0D1 D2 D3(b) 逻辑功能示意图CR M 1 M 0 CP3、0 1 1 1 1 × 0 0 1 1 × × 0 1 0 1 × ↑ ↑ ×工作状态 异步清零 保 右 左 持 移 移集成双 向移位 寄存器 74LS194并行输入 Q 0 Q 1 Q2 Q 3由74LS194 构成的能自 启动的4位 环形计数器G1 &1 CR CP D SR D0 0 74LS194 D1 D2 D3 1 1 1 & M1 1 M0 G2 D SL启动 信号CP波 形 图Q0 Q1 Q2 Q3 8.3 计数器能够记忆输入脉冲个数的电路称为计数器。 加法计数器 减法计数器 可逆计数器 加法计数器 减法计数器 可逆计数器 ? ? ? ? ? ? 二进制计数器同步计数器 计 数 器 异步计数器十进制计数器N进制计数器 二进制计数器 十进制计数器 N进制计数器 8.3.1 二进制计数器1、异步二进制计数器3位异步二进制加法计数器Q0 J C Q J C F1 K Q C F0 K Q1 J C F2 K Q Q2RD由于3个触发器都接成了T'触发器,所以最低位触发器F0每 来一个时钟脉冲的下降沿(即C由1变0)时翻转一次,而其 他两个触发器都是在其相邻低位触发器的输出端Q由1变0时 翻转,即F1在Q0由1变0时翻转,F2在Q1由1变0时翻转。 波形图C Q0 Q1 Q2F0每输入一个时钟脉冲 翻转一次。 F1在Q0由1变0时翻转。 二分频四分频八分频F2在Q1由1变0时翻转。 状态表计数脉冲 0 1 2 3 4 5 6 7 8 Q2 0 0 0 0 1 1 1 1 0 Q1 0 0 1 1 0 0 1 1 0 Q0 0 1 0 1 0 1 0 1 0从状态表或波形图可以看出,从 状态000开始,每来一个计数脉 冲,计数器中的数值便加1,输 入8个计数脉冲时,就计满归零, 所以作为整体,该电路也可称为 八进制计数器。 由于这种结构计数器的时钟脉冲 不是同时加到各触发器的时钟端, 而只加至最低位触发器,其他各 位触发器则由相邻低位触发器的 输出Q来触发翻转,即用低位输 出推动相邻高位触发器,3个触 发器的状态只能依次翻转,并不 同步,这种结构特点的计数器称 为异步计数器。异步计数器结构 简单,但计数速度较慢。 用上升沿触发的D触发器构成的4位 异步二进制加法计数器及其波形图Q0 C D Q C F0 Q D Q C F1   Q Q1 D Q C F2 Q Q2 D Q C F3 Q Q3RDC Q0 Q1 Q2 Q3F0每输入一个时钟脉冲翻转一次。 F1在Q0由1变0时翻转, F2在Q1由1变0时翻转, F3在Q2由1变0时翻转。 3位异步二进制减法计数器Q0 C RD计数脉冲 0 1 2 3 4 5 6 7 8 Q2 0 1 1 1 1 0 0 0 0 Q1 0 1 1 0 0 1 1 0 0 Q0 0 1 0 1 0 1 0 1 0Q1 D Q C F1   Q D Q C F2 QQ2D CQ F0 QC Q0 Q1 Q2F0每输入一个时钟脉冲翻转 一次, F1在Q0由1变0时翻 转, F2在Q1由1变0时翻转。 2、同步二进制计数器Q0 J C F0 K C RD Q J C F1 K Q Q1 &J Q C F2 &K Q23个JK触发器都接成T触发器J 0 ? K0 ? 1J1 ? K1 ? Q0J 2 ? K 2 ? Q1Q0 C Q0 Q1 Q2F0每输入一个时钟脉冲 翻转一次 F1在Q0=1时,在下一个C触 发沿到来时翻转。 F2在Q0=Q1=1时,在下一个C 触发沿到来时翻转。J 0 ? K0 ? 1J1 ? K1 ? Q0J 2 ? K 2 ? Q1Q0 8.3.2 十进制计数器1、同步十进制加法计数器计数 脉冲 0 1 2 3 4 5 6 7 8 9 10 Q3 0 0 0 0 0 0 0 0 1 1 0 8421 编码 Q2 0 0 0 0 1 1 1 1 0 0 0 Q1 0 0 1 1 0 0 1 1 0 0 0 Q0 0 1 0 1 0 1 0 1 0 1 0 十进 制数 0 1 2 3 4 5 6 7 8 9 0选用4个C下降沿触发的JK触发器 F0、F1、F2 、F3。F0:每来一个计数脉冲C翻转一 次,J 0 ? K 0 ? 1 。 F1:在Q0为1时,再来一个计数脉 冲C才翻转,但在Q3为1时不得翻 转, J1 ? Q3Q、 K 1 ? Q。 0 0 、F2:在Q0 和Q1都为1时,再来一个 计数脉冲才翻转, J 2 ? K 2 ? Q1Q0。F3:在Q0、Q1和Q2都为1时,再来 一个计数脉冲C才翻转,但在第10 个脉冲到来时Q3应由1变为0, J 3 ? Q2 Q1Q0 、 K 3 ? Q0 驱动方程:?J 0 ? K 0 ? 1 ? ? J1 ? Q3Q0 , K1 ? Q0 ? ? J 2 ? K 2 ? Q1Q0 ?J ? Q Q Q , K ? Q 2 1 0 3 0 ? 3Q1 Q2 Q3Q0J C F0 K C RDQ&J Q C F1 K&J Q C F2 &K&J Q C F3 K QC Q0 Q1 Q2 Q3 2、异步十进制加法计数器Q0 Q1 Q2 &J Q C F3 K Q Q3J C C F0 KQJ C F1 KQJ C F2 KQRD设计数器初始状态为 Q 3 Q 2 Q1 Q 0 ? 0000 ,在触发器 F3 翻转之前, 即从 0000 起到 0111 为止,Q3 ? 1 ,F0 、F1 、F2 的翻转情况与 3 位异 步二进制加法计数器相同。第 7 个计数脉冲到来后,计数器状态变为 0111, Q 2 ? Q1 ? 1 ,使 J 3 ? Q 2 Q1 ? 1 ,而 K 3 ? 1 ,为 F3 由 0 变 1 准 备了条件。第 8 个计数脉冲到来后,4 个触发器全部翻转,计数器状 态变为 1000。第 9 个计数脉冲到来后,计数器状态变为 1001。这两 Q3 均为 0,使 J 1 ? 0 ,而K 1 ? 1 。所以第 10 个计数脉冲到 种情况下 来后,Q0 由 1 变为 0,但 F1 的状态将保持为 0 不变,而 Q0 能直接触 发 F3 ,使 Q3 由 1 变为 0,从而使计数器回复到初始状态 0000。 8.3.3 N进制计数器1、由触发器构成N进制计数器由触发器组成的N进制计数器的一般分析方法是: 对于同步计数器,由于计数脉冲同时接到每个 触发器的时钟输入端,因而触发器的状态是否 翻转只需由其驱动方程判断。而异步计数器中 各触发器的触发脉冲不尽相同,所以触发器的 状态是否翻转除了考虑其驱动方程外,还必须 考虑其时钟输入端的触发脉冲是否出现。 例:分析图示计数器为几进制计数器。Q0 J C F0 K Q J C F1 K Q Q1 &J Q C F2 Q K Q2C解:由图可知,由于计数脉冲 C 同时接到每 个触发器的时钟输入端,所以该计数器为同步计 数器。3 个触发器的驱动方程分别为: F 0 : J 0 ? Q2 、 K 0 ? 1 F1 : J 1 ? K 1 ? Q 0 F2 :J 2 ? Q1 Q 0、K2 ? 1 列状态表的过程如下:首先假设计数器的初始状态,如000, 并依此根据驱动方程确定J、K的值,然后根据J、K的值确定 在计数脉冲C触发下各触发器的状态。在第1个计数脉冲C触 发下各触发器的状态为001,按照上述步骤反复判断,直到 第5个计数脉冲C时,计数器的状态又回到初始状态000。即 每来5个计数脉冲计数器状态重复一次,所以该计数器为五 进制计数器。计数脉冲 0 1 2 3 4 5 Q2 Q1 Q0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 0 0 0 J0 K0 1 1 1 1 1 1 1 1 0 1 1 1 J1 K1 0 0 1 1 0 0 1 1 0 0 0 0 J2 K2 0 1 0 1 0 1 1 1 0 1 0 1CQ0 Q1 Q2 例:分析图示计数器为几进制计数器。Q0 J C F0 K Q J C F1 K Q Q1 &J Q C F2 Q K Q2C解:由图可知,触发器 F0 、F2 由 C 计数脉冲触发,而 F1 由 F0 的输出 Q0 触发,也就是只有在 Q0 出现下降沿(由 1 变 0)时 Q1 才能翻转,各个触发器不是都接 C 计数脉冲,所 以该计数器为异步计数器。3 个触发器的驱动方程分别为: F 0 : J 0 ? Q2 、 K 0 ? 1 C 脉冲触发 F1 : F2 :J1 ? K1 ? 1J 2 ? Q1 Q 0、K2 ? 1Q0 脉冲触发 CP 脉冲触发 列异步计数器状态表与同步计数器不同之处在于:决定触 发器的状态,除了要看其J、K的值,还要看其时钟输入端 是否出现触发脉冲下降沿。从状态表可以看出该计数器也 是五进制计数器。计数脉冲 0 1 2 3 4 5Q2 0 0 0 0 1 0Q1 0 0 1 1 0 0Q0 0 1 0 1 0 0J0 1 1 1 1 0 1K0 1 1 1 1 1 1J1 1 1 1 1 1 1K1 1 1 1 1 1 1J2 0 0 0 1 0 0K2 1 1 1 1 1 1 2、由集成计数器构成N进制计数器4位集成同步二进制加法计数器74LS161UCC CO Q0 Q1 Q2 Q3 CTT LD 16 15 14 13 12 11 74LS161 1 2 3 4 5 6 7 8 10 9 Q0 Q1 Q2 Q3CTT CTP C 74LS161 CO LDCR C D0 D1 D2 D3 CTP GND (a) 引脚排列图CR D0D1 D2 D3(b) 逻辑功能示意图①CR=0时异步清零。 ②CR=1、LD=0时同步置数。③CR=LD=1且CPT=CPP=1时,按4位自然二进制码同步计数。 ④CR=LD=1且CPT? P=0时,计数器状态保持不变。 CP 用集成计数器构成N进制计数器的方法:利用清零端或置数 端,让电路跳过某些状态来获得N进制计数器。用74LS161构成十二进制计数器& 1 C CTT Q3 Q2 Q1 Q0 CO CTP LD 74LS161 C D0 D1 D2 D3 CR (a) 用异步清零端 CR 归零 1 1 C CTT Q3 Q2 Q1 Q0 CO CTP LD 74LS161 C D D D D CR 0 1 2 3 用同步置数端 LD 归零 &1(b)将状态1100 反馈到清 零端归零将状态1011 反馈到清 零端归零 C Q0 Q1 Q2 Q3 (a) C Q0 Q1 Q2 Q3 (b) 用同步归零法构成的十二进制计数器的波形 用异步归零法构成的十二进制计数器的波形用异步归零构成十二 进制计数器,存在一 个极短暂的过渡状态 1100。十二进制计数 器从状态0000开始计 数,计到状态1011时, 再来一个计数脉冲C , 电路应该立即归零。 然而用异步归零法所 得到的十二进制计数 器,不是立即归零, 而是先转换到状态 1100,借助1100的译 码使电路归零,随后 变为初始状态0000。 用74LS161构成256进制和60进制计数器1 CTT CTP C D Q3 Q2 Q1 Q0 CO 74LS1610LD1 1CTT CTP CQ3 Q2 Q1 Q0 CO 74LS161 LD 1 116×16 =256CD1 D2 D3 CRD0 D1 D2 D3 CR256 进制计数器& 1 C Q Q Q Q0 CO CTT 3 2 1 CTP LD 74LS161 C D D D D CR 0 1 2 3 Q Q Q Q0 CO CTT 3 2 1 CTP LD 74LS161 C D D D D CR 0 1 2 31160 进制计数器高位片计数到3(0011)时,低位片所计数为16×3=48,之 后低位片继续计数到12(1100),与非门输出0,将两片计 数器同时清零。 用74LS161构成8421码60进制和24进制计数器& 1 C Q Q Q Q0 CO CTT 3 2 1 CTP 74LS161(个位) LD C D D D D CR0 1 2 31 CTT CTP 74LS161(十位) LD C D D D D CR 0 1 2 3 Q3 Q2 Q1 Q0 CO&18421 码 60 进制计数器& 1 C Q Q Q Q0 CO CTT 3 2 1 CTP 74LS161(个位) LD C D D D D CR0 1 2 31 Q Q Q Q0 CO CTT 3 2 1 CTP 74LS161(十位) LD C D D D D CR0 1 2 3&1& 8421 码 24 进制计数器 集 成 异 步 十 进 制 计 数 器 74 LS 90C0 NCQ 0 Q3 GNDQ1 Q2Q0 Q3 Q1 Q214 113 212 311 410 59 68 774LS90C0 C1 74LS90C1 R0A R0B NC (a)U CC S9A S9B (b)输 C0 × × × ↓ 0 ↓ Q1 C1 × × × 0 ↓ Q0 ↓S9A S9B R0A R0B 逻辑功能示意图出引脚排列图输 入 S9A 0 × 1 × 0 × 0 S9B × 0 1 0 × 0 ×R0A 1 1 × × × 0 0R0B 1 1 × 0 0 × ×Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 0 1 0 0 1 二进制计数 五进制计数 8421 码十进制计数 5421 码十进制计数 用74LS90构成N进制计数器异步计数器一般没有专门的进位信号输出端,通常可以用 本级的高位输出信号驱动下一级计数器计数,即采用串行 进位方式来扩展容量。Q0 Q1 Q2 Q3 C1 C C0 S9A S9B R0A R0B 74LS90(个位) N1 =10 C1 Q0 Q1 Q2 Q374LS90(十位) N2 =10C0 S9A S9B R0A R0B100进制计数器 Q0 Q1 Q2 Q3 C1 C C0 74LS90(个位) S9A S9B R0A R0BQ0 Q1 Q2 Q3 C1 74LS90(十位) C0 S9A S9B R0A R0B60进制计数器& Q0 Q1 Q2 Q3 C1 C C0 74LS90(个位) S9A S9B R0A R0B Q0 Q1 Q2 Q3 C1 C0 74LS90(十位) S9A S9B R0A R0B 164进制计数器 8.4 555定时器8.4.1 555定时器的结构和工作原理+UCC 8 5kΩ CO TH 5 6 2 5kΩ 5kΩ R 4 ∞ + A1 + - ∞ + A2 + - 1 SD Q V RD Q 3 uo4.5~16V 电压 控制端复位端 低电平有效高电平 触发端低电平 触发端TR7D放电端 +UCC 8 5kΩ CO TH 5 6 2 5kΩ 1 5kΩR 4 ∞ + A1 + - ∞ + A2 + - SD Q03RD Q0uoTR1V7D①R=0时,Q=1 、Q=0 ,uo=0,V导通。 +UCC 8 5kΩ CO TH 5 6 2 5kΩR 4 ∞ + A1 + - ∞ + A2 + -0RD Q30uo>2UCC/3 >UCC/3TR11SD Q V7D5kΩ 1①R=0时,Q=1 、Q=0 ,uo=0,V饱和导通。 ②R=1、UTH>2UCC/3、UTR>UCC/3时,RD=0、SD=1, Q=1、Q=0,uo=0,V饱和导通。 +UCC 8 5kΩ CO TH 5 6 2 5kΩR 4 ∞ + A1 + - ∞ + A2 + -1RD Q30 1uo<2UCC/3 >UCC/3TR1SD Q0 1V7D5kΩ 1①R=0时,Q=1 、Q=0 ,uo=0,V饱和导通。 ②R=1、UTH>2UCC/3、UTR>UCC/3时,RD=0、SD=1, Q=1、Q=0,uo=0,V饱和导通。 ③R=1、UTH<2UCC/3、UTR>UCC/3时,RD=1、SD=1, Q、Q不变,uo不变,V状态不变。 +UCC 8 5kΩ CO TH 5 6 2 5kΩR 4 ∞ + A1 + - ∞ + A2 + -1RD Q3uo1<2UCC/3<UCC/3TR00SD Q V7D5kΩ 1①R=0时,Q=1 、Q=0 ,uo=0,V饱和导通。 ②R=1、UTH>2UCC/3、UTR>UCC/3时,RD=0、SD=1, Q=1、Q=0,uo=0,V饱和导通。 ③R=1、UTH<2UCC/3、UTR>UCC/3时,RD=1、SD=1, Q、Q不变,uo不变,V状态不变。 ④R=1、UTH<2UCC/3、UTR<UCC/3时,RD=1、SD=0, Q=0、Q=1,uo=1,V截止。 8.4.2 555定时器的应用1、由555定时器构成单稳态触发器UCC R 7 8 4 3ui uo0 t 2UCC/3uc0uiC6 555 2 1 电路 5 0.01μ Ft tuo0 (b) tP 工作波形(a)输出脉冲宽度 tp。 tp≈1.1RC UCC R 7 8 4 3ui uo0 t 2UCC/3uc0uiC6 555 2 1 5 0.01μ Ft tuo0 tP接通UCC后瞬间,UCC通过R对C充电,当uc上升到2UCC/3时, 比较器A1 输出为0,将触发器置0,uo=0。这时Q=1,放电管V导 通,C通过V放电,电路进入稳态。 ui到来时,因为ui<UCC/3,使A2=0,触发器置1,uo又由0变 为1,电路进入暂稳态。由于此时Q=0,放电管V截止,UCC经R对 C充电。虽然此时触发脉冲已消失,比较器A2的输出变为1,但充 电继续进行,直到uc上升到2UCC/3时,比较器A1输出为0,将触发 器置0,电路输出uo=0,V导通,C放电,电路恢复到稳定状态。 单稳态触发器的应用延迟与定时ui 单稳态触发器 ui uA u'o & uo (a) 电路示意图整 形u'o uo uAtp(b) 波形图ui uo tp 2、由555定时器构成无稳态触发器UCC R1 7 R2 6 555 2 1 (a) 电路 5 0.01μ F 8 4 3 2UCC/3uc uoUCC/3 0tucCuot 0 tP1 tP2 (b) 工作波形接通UCC后,UCC经R1和R2对C充电。当uc上升到2UCC/3时,uo=0, V导通,C通过R2和T放电,uc下降。当uc下降到UCC/3时,uo又由0 变为1,V截止,UCC又经R1和R2对C充电。如此重复上述过程,在 输出端uo产生了连续的矩形脉冲。 UCC R1 7 R2 6 555 2 1 5 0.01μ F 8 4 3 2UCC/3uc uoUCC/3 0tucCuot 0 tP1 tP2第一个暂稳态的脉冲宽度 tp1,即 uc 从 UCC/3 充电上升到 2UCC/3 所需的时间:tp1≈0.7(R1+R2)Ctp2≈0.7R2C第二个暂稳态的脉冲宽度 tp2,即 uc 从 2UCC/3 放电下降到 UCC/3 所需的时间:振荡周期:T=tp1+tp2≈0.7(R1+2R2)C 无稳态触发器的应用: 模拟声响电路UCC R1 7 R2 6 555Ⅰ 2 C1 1 0.01μ F (a) 电路 5 C2 8 4 3uo1R3 7 R48 4 3uo2Cuo16 555Ⅱ 2 1 5uo20.01μ F (b) 工作波形将振荡器Ⅰ的输出电压uo1,接到振荡器Ⅱ中555定时器的复 位端(4脚),当uo1为高电平时振荡器Ⅱ振荡,为低电平时 555定时器复位,振荡器Ⅱ停止震荡。 3、由555定时器构成施密特触发器+UCC 8 4 6 7 555 3 2 1 (a) 电路 5 +UCC1 Rui UT+ UT-2UCC/3 UCC/3 tuo1 uo uCO控制电压 调节回差uo0ui0 (b) 工作波形tQ (1) u i ? 0 时,RD=1、SD=0,触发器置 1,即 ? 1 、 ? 0 ,uo1 = Quo =1。ui 升高时,在未到达 2UCC/3 以前,uo1 =uo=1 的状态不会改变。 +UCC 8 4 6 7 555 3 2 1 (a) 电路 5+UCC1 Rui UT+ UT-2UCC/3 UCC/3 tuo1 uo uCO控制电压 调节回差uo0ui0 (b) 工作波形tQ (1) u i ? 0 时,RD=1、SD=0,触发器置 1,即 ? 1 、 ? 0 ,uo1 = Quo =1。ui 升高时,在未到达 2UCC/3 以前,uo1 =uo=1 的状态不会改变。(2)ui 升高到 2UCC/3 时,比较器 A1 输出跳变为 0、A2 输出为 1,触发器置 0,即跳变到Q ? 0 、 Q ? 1 ,uo1 、uo 也随之跳变到 0。此后,ui 继续上升到最大u 值,然后再降低,但在未降低到 UCC/3 以前, u o1 ? 0 、 o ? 0 的状态不会改变。 +UCC 8 4 6 7 555 3 2 1 (a) 电路 5+UCC1 Rui UT+ UT-2UCC/3 UCC/3 tuo1 uo uCO控制电压 调节回差uo0ui0 (b) 工作波形tQ (1) u i ? 0 时,RD=1、SD=0,触发器置 1,即 ? 1 、 ? 0 ,uo1 = Quo =1。ui 升高时,在未到达 2UCC/3 以前,uo1 =uo=1 的状态不会改变。(2)ui 升高到 2UCC/3 时,比较器 A1 输出跳变为 0、A2 输出为 1,触发器置 0,即跳变到Q ? 0 、 Q ? 1 ,uo1 、uo 也随之跳变到 0。此后,ui 继续上升到最大u 值,然后再降低,但在未降低到 UCC/3 以前, u o1 ? 0 、 o ? 0 的状态不会改变。(3)ui 下降到 UCC/3 时,比较器 A1 输出为 1、A2 输出跳变为 0,触发器 置 1,即跳变到Q ? 1 、Q ? 0 ,uo1、uo 也随之跳变到 1。此后,ui 继续下降到 0,但 u o1 ? 1 、u o ? 1 的状态不会改变。 施密特触发器的应用CMOS MOC 等 正弦波 振荡器1输入 输出UT+ UT-1(a) 慢输入波形的 TTL 系统接口(b) 整形电路的输入、输出波形R uo输入 输出 (c) 幅度鉴别的输入、输出波形UT+ UT- uc Cu' o1(d) 多谐振荡器}

我要回帖

更多关于 维持阻塞型d触发器 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信