采用实验箱设计多个显示程序。不按下任何开关时,数码分段开关接线图块显示块显示OOO

PC机一台QuartusII软件EDA实验箱;三、实验内容;实验内容中的六个实验均要通过实验十的D扫描显示电;1、用JK触发器设计异步四位二进制加法计数器;图5.1计数器设计参考原理图;3.绘制原理图后进行仿真验证,最后下载到实验箱;4、自行设计纪录方式,完成实验报告;四、实验研究与思考;1、说明在FPGA设计中,同步设计和异步设计的不;2、图形设计和VHD
PC机一台 QuartusII 软件
三、实验内容
实验内容中的六个实验均要通过实验十的D扫描显示电路‖进行显示,具体连线根据每个实验内容完成时的管脚分配来定义,同相应的输入输出接口功能模块相连,扫描模块的设计参考实验四。
1、用JK触发器设计异步四位二进制加法计数器。8位LED数码管16进制显示扫描显示驱动电路设计,实验参考原理图如图5.1所示。其中,计数时钟频率CLK&2Hz;扫描时钟频率&40Hz;四位JK触发器接成异步计数器;SEL0~SEL2为扫描地址(控制八位数码管的扫描顺序和速度);A……G为显示译码输出,代表数码管的八个段位(a,b,c,d,e,f,g);八位数码管同时顺序显示0~F。
图5.1 计数器设计参考原理图
3.绘制原理图后进行仿真验证,最后下载到实验箱。
4、自行设计纪录方式,完成实验报告
四、实验研究与思考
1、说明在FPGA设计中,同步设计和异步设计的不同之处。
2、图形设计和VHDL语言设计编程各有什么优点,混合编程时应注意些什么问题?
实验六 函数信号发生器
一、实验目的
1、了解函数信号发生的方法。 2、掌握LPM_ROM的使用方法。
3、了解DAC0832的工作原理和控制时序。
4、掌握DAC0832的控制时序的VHDL设计实现方法。 5、了解低通滤波电路的原理及其在信号发生中的应用。 2、熟悉SignalTap II测试方法。
二、实验仪器
计算机、QuartusII软件、EDA试验箱、示波器。
三、实验内容
1、在Quartus II上完成正弦信号发生器设计,包括仿真和资源利用情况了解(假设利用Cyclone器件)。
最后在实验系统上实测,包括SignalTap II测试、FPGA中ROM的在系统数据读写测试和利用示波器测试。示例程序如例6.1,
【例6.1】 正弦信号发生器顶层设计
LIBRARY IEEE;
--正弦信号发生器源文件 USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SINGT IS
PORT ( CLK
: IN STD_LOGIC;
--信号源时钟
DOUT : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );
--8位波形数据输出
ARCHITECTURE DACC OF SINGT IS
COMPONENT data_rom
--调用波形数据存储器LPM_ROM文件:data_rom.vhd声明 PORT(address : IN STD_LOGIC_VECTOR (5 DOWNTO 0) ;
--6位地址信号
inclock : IN STD_LOGIC ;
--地址锁存时钟
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );
END COMPONENT;
SIGNAL Q1 : STD_LOGIC_VECTOR (5 DOWNTO 0);
--设定内部节点作为地址计数器
PROCESS(CLK )
--LPM_ROM地址发生器进程
IF CLK'EVENT AND CLK = '1' THEN
--Q1作为地址发生器计数器 END IF; END PROCESS;
u1 : data_rom PORT MAP(address=&Q1, q =& DOUT,inclock=&CLK); --例化 END;
波形数据对应的文件表如下:
信号输出的D/A使用实验系统上的DAC0832,注意其转换速率是1μs,其引脚功能简述如下:
ILE:数据锁存允许信号,高电平有效,系统板上已直接连在+5V上;WR1、WR2:写信号1、2,低电平有效;XFER:数据传送控制信号,低电平有效;VREF:基准电压,可正可负,-10V~+10V;RFB:反馈电阻端;IOUT1/IOUT2:电流输出端。D/A转换量是以电流形式输出的,所以必须将电流信号变为电压信号;AGND/DGND:模拟地与数字地。在高速情况下,此二地的连接线必须尽可能短,且系统的单点接地点须接在此连线的某一点上。
建议选择GW48系统的电路模式No.5,由附录对应的电路图可见,DAC0832的8位数据口D[7..0]分别与FPGA的PIO31、30..、24相连,如果目标器件是EP1C3T144,则对应的引脚是:72、71、70、69、68、67、52、51;时钟CLK接系统的clock0,对应的引脚是93,选择的时钟频率不能太高(转换速率1μs,)。还应该注意,DAC0832电路须接有+/-12V电压:GW48系统的+/-12V电源开关在系统左侧上方。然后下载SINGT.sof到FPGA中;波形输出在系统右下角,将示波器的地与GW48系统的地(GND)相接,信号端与DAOUT‖信号输出端相接。如果希望对输出信号进行滤波,将GW48系统右下角的拨码开关的D8‖向下拨,则波形滤波输出,向上拨则未滤波输出,这可从输出的波形看出。
4、自行设计纪录方式,完成实验报告
四、实验研究与思考
1、采用本方法估计可以产生的正弦波的频率能到多少?
2、若要产生任意信号波形和高速波形输出应该注意什么问题?
GW48 EDA/SOPC主系统使用说明
第一节 GW48教学实验系统原理与使用介绍
一、GW48系统使用注意事项
1、闲置不用GW48系统时,必须关闭电源!!!
2、在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA没有影响,FPGA本身没有复位的概念,上电后即工作,在没有配置前,FPGA的I/O口是随机的,故可以从数码管上看到随机闪动,配置后的I/O口才会有确定的输出电平。
3、换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。其它接口都可带电插拔。请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。
4、 使用实验系统前,查阅系统的默认设置ppt文件:EDA技术与VHDL书实验课件说明_必读 .ppt。
二、GW48系统主板结构与使用方法
以下将详述GW48系列SOPC/EDA实验开发系统(GW48-PK2/CK)结构与使用方法,对于这2种型号的不同之处将给予单独指出。该系统的实验电路结构是可控的。即可通过控制接口键,使之改变连接方式以适应不同的实验需要。因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。这种D多任务重配置‖设计方案的目的有3个:
1、适应更多的实验与开发项目; 2、适应更多的PLD公司的器件;
3、适应更多的不同封装的FPGA和CPLD器件。系统板面主要部件及其使用方法说明如下。 以下是对GW48系统主板功能块的注释。
主板右数第2、3列“目标板插座”信号相同
PIO60PIO62PIO64PIO66PIO76
PIO61PIO63PIO65PIO67PIO77
PIO68PIO70PIO72PIO74PIO78VCCCLOCK9CLOCK5SPEAKER
oooooooooooooooooooooooooooooooooooooooooooooooooo
PIO69PIO71PIO73PIO75PIO79GND
ByteBlast(MV)TCKTDOTMSnSTATDI
SEL0SEL1GND
TCKoo+12VTDOoo-12VTMSooSEL0nSTAooSEL1TDIoo1.8VPIO0oo3.3V2.5VooPIO1PIO2ooPIO3PIO4ooPIO5PIO6ooPIO7PIO8ooPIO9PIO10ooPIO11PIO12ooPIO13PIO14ooPIO15PIO16ooPIO17PIO18ooPIO19PIO20ooPIO21PIO22ooPIO23PIO24ooPIO25GNDooVCC
39目标板插座1
在线编程座
CLOCK2CLOCK0
ByteBlast IIDCLK
nCONFIGDATA0ASDO
GNDVCCIOnCEnCSOGND
PIO48PIO49PIO46PIO47PIO44PIO45PIO42PIO43PIO40PIO41PIO38PIO39PIO36PIO37PIO34PIO35PIO32PIO33PIO30PIO31PIO28PIO29PIO26PIO27
39目标板插座2
在线编程座
GW48 EDA系统电子设计二次开发信号图
(1) D模式选择键‖:按动该键能使实验板产生12种不同的实验电路结构。这些结构如第二节的13 张实验电路结构图所示。例如选择了DNO.3‖图,须按动系统板上此键,直至数码管D模式指示‖数码管显示D3‖,于是系统即进入了NO.3 图所示的实验电路结构。
(2) 适配板:这是一块插于主系统板上的目标芯片适配座。对于不同的目标芯片可配不同的适配座。可用的目标芯片包括目前世界上最大的六家FPGA/CPLD厂商几乎所有CPLD、FPGA和所有ispPAC等模拟EDA器件。第七节的表中已列出多种芯片对系统板引脚的对应关系,以利在实验时经常查用。
(3) ByteBlasterMV编程配置口:如果要进行独立电子系统开发、应用系统开发、电子设计竞赛等开
发实践活动,首先应该将系统板上的目标芯片适配座拔下(对于Cyclone器件不用拔),用配置的10芯编程线将DByteBlasterMV‖口和独立系统上适配板上的10芯口相接,进行在系统编程(如GWDVP-B板),进行调试测试。DByteBlasterMV‖口能对不同公司,不同封装的CPLD/FPGA进行编程下载,也能对isp单片机89S51等进行编程。编程的目标芯片和引脚连线可参考附图1,从而进行二次开发。
(4)ByteBlasterII编程配置口:该口主要用于对Cyclone系列AS模式专用配置器件EPCS4和EPCS1等编程。
(5) 混合工作电压源:系统不必通过切换即可为CPLD/FPGA目标器件提供5V、3.3V、2.5V、1.8V和1.5V工作电源,此电源位置可参考附图1。
(6)JP5编程模式选择跳线:(仅GW48-PK2型含此)。如果要对Cyclone的配置芯片进行编程,应该将跳线接于DByBtII‖端,在将标有DByteBlasterII‖编程配置口同适配板上EPCS4/1的AS模式下载口用10芯线连接起来,通过QuartusII进行编程。当短路DOthers‖端时,可对其它所有器件编程,端口信号参考附图1。
(7)JP6/JVCC/VS2编程电压选择跳线:跳线JVCC(GW48―PK2型标为DJP6‖)是对编程下载口的选择跳线。对5V器件,如10K10、10K20、7128S、、89S51单片机等,必须选D5.0V‖。而对低于或等于3.3V的低压器件,如1K30、1K100、10K30E、20K300、Cyclone、7128B等一律选择D3.3V‖一端。
(8)并行下载口:此接口通过下载线与微机的打印机口相连。来自PC机的下载控制信号和CPLD/FPGA的目标码将通过此口,完成对目标芯片的编程下载。计算机的并行口通信模式最好设置成DEPP‖模式。
(9)键1~键8 :为实验信号控制键,此8个键受D多任务重配置‖电路控制,它在每一张电路图中的功能及其与主系统的连接方式随模式选择键的选定的模式而变,使用中需参照第二节中的电路图。
(10)键9~键14 :(GW48―PK2型含此键)此6个键不受D多任务重配置‖电路控制,由于键信号速度慢,所以其键信号输入口是全开放的,各端口定义在插座DJP8‖处,可通过手动节插线的方式来实用,键输出默认高电平。
注意:键1至键8是由D多任务重配置‖电路结构控制的,所以键的输出信号没有抖动问题,不需要在目标芯片的电路设计中加入消抖动电路,这样,能简化设计,迅速入门。但设计者如果希望完成键的消抖动电路设计练习,必须使用键9至键14来实现。
(11)数码管1~8/发光管D1~D16 :受D多任务重配置‖电路控制,它们的连线形式也需参照第二节的电路图。
(12)D时钟频率选择” :位于主系统的右小侧,通过短路帽的不同接插方式,使目标芯片获得不同的时钟频率信号。对于DCLOCK0‖,同时只能插一个短路帽,以便选择输向DCLOCK0‖的一种频率:信号频率范围:0.5HzC50MHz。由于CLOCK0可选的频率比较多,所以比较适合于目标芯片对信号频率或周期测量等设计项目的信号输入端。右侧座分三个频率源组,它们分别对应三组时钟输入端:CLOCK2、CLOCK5、CLOCK9。例如,将三个短路帽分别插于对应座的2Hz、1024Hz和12MHz,则CLOCK2、CLOCK5、CLOCK9分别获得上述三个信号频率。需要特别注意的是,每一组频率源及其对应时钟输入端,分别只能插一个短路帽。也就是说最多只能提供4个时钟频率输入FPGA:CLOCK0、CLOCK2、CLOCK5、CLOCK9。
(13)扬声器:与目标芯片的DSPEAKER‖端相接,通过此口可以进行奏乐或了解信号的频率,它与目标器件的具体引脚号,应该查阅附录第3节的表格。
(14) PS/2接口:通过此接口,可以将PC机的键盘和/或鼠标与GW48系统的目标芯片相连,从而完成PS/2通信与控制方面的接口实验,GW48-GK/PK2含另一PS/2接口,引脚连接情况参见实验电路结构 NO.5(附图7)。
(15)VGA视频接口:通过它可完成目标芯片对VGA显示器的控制。详细连接方式参考附图 7(对GW48-PK2主系统),或附图13(GW48-CK主系统)。
(16) 单片机接口器件:它与目标板的连接方式也已标于主系统板上:连接方式可参见附图11。
注1:对于GW48-PK2系统,实验板右侧有一开关,若向DTO_ FPGA‖拨,将RS232通信口直接与FPGA相接;若向DTO_MCU‖拨,则与89S51单片机的P30和P31端口相接。于是通过此开关可以进行不同的通信实验,详细连接方式可参见附图11。平时此开关应该向DTO_MCU‖拨,这样可不影响FPGA的工作!
注2:GW48-EK系统上的用户单片机89C51的各引脚是独立的(时钟已接12MHz),没有和其他任何电路相连,实验时必须使用连接线连接,例如,若希望89C51通过实验板右侧的RS232口与PC机进行串行通信,必须将此单片机旁的40针座(此座上每一脚恰好与89C51的对应脚相接)上的P30、P31分别与右侧的
TX30、RX30相接。
(17) RS-232串行通讯接口:此接口电路是为FPGA与PC通讯和SOPC调试准备的。或使PC机、单片机、FPGA/CPLD三者实现双向通信。对于GW48-EK系统,其通信端口是与中间的双排插座上的TX30、RX31相连的。详细连接方式参考附图11(对GW48-GK/PK2主系统),或附图13(对GW48-CK主系统)。
(18)DAOUT” D/A转换 :利用此电路模块(实验板左下侧),可以完成FPGA/CPLD目标芯片与D/A转换器的接口实验或相应的开发。它们之间的连接方式可参阅附图7(实验电路结构 NO.5):D/A的模拟信号的输出接口是DAOUT‖,示波器可挂接左下角的两个连接端。当使能拨码开关8:D滤波1‖时,D/A的模拟输出将获得不同程度的滤波效果 。
注意:进行D/A接口实验时,需打开系统上侧的+/-12V电源开关(实验结束后关上此电源!)。 (19)DAIN0”/“AIN1”:外界模拟信号可以分别通过系统板左下侧的两个输入端DAIN0‖和DAIN1‖进入A/D转换器ADC0809的输入通道IN0和IN1,ADC0809与目标芯片直接相连。通过适当设计,目标芯片可以完成对ADC0809的工作方式确定、输入端口选择、数据采集与处理等所有控制工作,并可通过系统板提供的译码显示电路,将测得的结果显示出来。此项实验首先需参阅第二节的D实验电路结构NO.5‖有关0809与目标芯片的接口方式,同时了解系统板上的接插方法以及有关0809工作时序和引脚信号功能方面的资料。
注意:不用0809时,需将左下角的拨码开关的DA/D使能‖和D转换结束‖打为禁止:向上拨,以避免与其他电路冲突。
ADC0809 A/D转换实验接插方法(如,附图7,实验电路结构 NO.5图所示):
a、 左下角拨码开关的DA/D使能‖和D转换结束‖拨为使能:向下拨,即将ENABLE(9)与PIO35相接;若向
上拨则禁止,即则使ENABLE(9)?0,表示禁止0809工作,使它的所有输出端为高阻态。
b、 左下角拨码开关的D转换结束‖使能,则使EOC(7)?PIO36,由此可使FPGA对ADC0809的转换状态
进行测控。
(20) VR1/“AIN1”:VR1电位器,通过它可以产生0V~+5V 幅度可调的电压。其输入口是0809的IN1(与外接口AIN1相连,但当AIN1插入外输入插头时,VR1将与IN1自动断开)。若利用VR1产生被测电压,则需使0809的第25脚置高电平,即选择IN1通道,参考D实验电路结构NO.5‖。
(21) AIN0的特殊用法 :系统板上设置了一个比较器电路,主要以LM311组成。若与D/A电路相结合,可以将目标器件设计成逐次比较型A/D变换器的控制器件参考D实验电路结构NO.5‖。
(22) 系统复位键:此键是系统板上负责监控的微处理器的复位控制键,同时也与接口单片机和LCD控制单片机的复位端相连。因此兼作单片机的复位键。
(23)下载控制开关 :(仅GW48―GK/PK型含此开关)在系统板的左侧的开关。当需要对实验板上的目标芯片下载时必须将开关向上打(即DDLOAD‖);而当向下打(LOCK)时,将关闭下载口,这时可以将下载并行线拔下而作它用(这时已经下载进FPGA的文件不会由于下载口线的电平变动而丢失);例如拔下的25芯下载线可以与其他适配板上的并行接口相接,以完成类似逻辑分析仪方面的并行通信实验。
(24) 跳线座SPS :短接DT_F‖可以使用D在系统频率计‖。频率输入端在主板右侧标有D频率计‖处。模式选择为DA‖。短接DPIO48‖时,信号PIO48可用,如实验电路结构图NO.1中的PIO48。平时应该短路DPIO48‖。
(25)目标芯片万能适配座CON1/2 :在目标板的下方有两条80个插针插座(GW48-CK系统),其连接信号如附图1所示,此图为用户对此实验开发系统作二次开发提供了条件。对于GW48-GK/PK2/EK系统,此适配座在原来的基础上增加了20个插针,功能大为增强。增加的20插针信号与目标芯片的连接方式可参考D实验电路结构NO.5‖、附图11和第3节表格。GW48-EK系统中此20的个插针信号全开放。
(26)左下拨码开关 :(仅GK/PK2/EK型含此开关)拨码开关的详细用法可参考实验电路结构 NO.5图(附图7)。
(27)上拨码开关 :(仅GK/PK2型含此开关)是用来控制数码管作扫描显示用的。当要将8个数码管从原来的重配置可控状态下向扫描显示方式转换时,可以将此拨码开关全部向下拨,然后将左下侧的拨码开关的DDS8使能‖向上拨。这时,由这8个数码管构成的扫描显示电路可附图12。
(28)ispPAC下载板 :对于GW48-GK系统,其右上角有一块ispPAC模拟EDA器件下载板,可用于模拟EDA实验中对ispPAC10/20/80等器件编程下载用,详细方法请看光盘中:D模拟EDA实验演示‖的POWER POINT。
(29)8X8数码点阵 :(仅GW48-GK型含此)在右上角的模拟EDA器件下载板上还附有一块数码点阵显示块,是通用共阳方式,需要16根接插线和两根电源线连接。详细方法请看D实验演示‖的POWER POINT。
包含各类专业文献、应用写作文书、专业论文、生活休闲娱乐、外语学习资料、行业资料、文学作品欣赏、75EDA实验指导书_图文等内容。 
 ,可以进入到如下界面 EDA 实验指导书 选择 Q2license_fulll.dat 破解效果 EDA 实验指导书 EDA 实验指导书 EDA 实验指导书 实验二 基本输出实验 1.点亮发光...  EDA实验指导书_计算机软件及应用_IT/计算机_专业资料 暂无评价|0人阅读|0次下载|举报文档EDA实验指导书_计算机软件及应用_IT/计算机_专业资料。...  EDA实验指导书2014新实验箱_工学_高等教育_教育专区 暂无评价|0人阅读|0次下载|举报文档EDA实验指导书2014新实验箱_工学_高等教育_教育专区。eda实验指导书 ...  EDA技术实验指导书_计算机软件及应用_IT/计算机_专业资料。EDA 技术实验指导书 EDA 技术实验指导书 福建农林大学计算机与信息学院 电子信息工程系《EDA 技术》课程组...  实验指导书 EDA技术与应用_互联网_IT/计算机_专业资料 暂无评价|0人阅读|0次下载|举报文档实验指导书 EDA技术与应用_互联网_IT/计算机_专业资料。EDA 技术与...  数字电路实验指导书2015_电子/电路_工程科技_专业资料。数电实验 ...11、可编程逻辑器件电路实验 可配装 Lattice EDA-1032E 或 Altera EDA-10K10 ...  EDA实验指导书_IT/计算机_专业资料。电子电路 EDA (实验指导书) 2011 年 9 月 前 言 现代电子产品已经渗透到了社会的各个领域, 各种新产品层出不穷, 更新...  EDA技术实验指导书(2011)_计算机软件及应用_IT/计算机_专业资料。序 言 《EDA技术实验指导书》是与理论课程《EDA技术》配套开出的,是通信工程等 专业的一门专业...  EDA模块化实验指导书_计算机硬件及网络_IT/计算机_专业资料。KX_DN 系列 实验指导书 修改日期:2015 年 9 月 河南工业大学焦素敏 第 1 章 模块化创新设计综合...数电课程设计_电梯控制系统_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
数电课程设计_电梯控制系统
上传于||文档简介
&&数​电​课​程​设​计​ ​电​梯​控​制​系​统
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩16页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢急求EDA的程序,要求如下:1.编程实现两个数码管显示两位数字,并且可以通过按键对数字进行设定。按键有K1、K2、K3三个按键。每按下一次K1键数字加“1”,每按下一次K2键数字减“1”。按下K3键要显示自己的学号后两位数字
2.数字显示范围是00-59。
3.用实验箱上的中间两 - 雅兴问问
当前位置: & 急求EDA的程序,要求如下:1.编程实现两个数码管显示两位数字,并且可以通过按键对数字进行设定。按键有K1、K2、K3三个按键。每按下一次K1键数字加“1”,每按下一次K2键数字减“1”。按下K3键要显示自己的学号后两位数字
2.数字显示范围是00-59。
3.用实验箱上的中间两未解决问题急求EDA的程序,要求如下:1.编程实现两个数码管显示两位数字,并且可以通过按键对数字进行设定。按键有K1、K2、K3三个按键。每按下一次K1键数字加“1”,每按下一次K2键数字减“1”。按下K3键要显示自己的学号后两位数字
2.数字显示范围是00-59。
3.用实验箱上的中间两
℡/、空程☆
详细问题描述及疑问:期待您的答案,你无异于雪中送炭,让我感激涕零

本页链接:AD336-280AD336-280AD728-90
我可以帮你实现。
AD相关内容AD728-250
大家还关注AD728-250&&&&2012年微机原理课程设计题目
&2012年微机原理课程设计题目
1. 求 N! 。 要求:从键盘接收一个数字,计算其阶乘,并显示出来。 2. 求 N-M 连续数据的和,并将和显示出来。 3. 3.根据键盘输入的一个数字显示相应的数据螺旋方阵。如输入 4,则显示。 1 2 3 4 12 13 14 5 11 16 15 6 10 9 8 7 共需要显示 4^2=16 个数字。 要求:1.根据键盘输入的数字(3-20) ,显示相应的数据方阵。 2.画出设计思路流程图,编写相应程序。 4. 4. 在屏幕上开一个窗口显示自已的名字(汉字) 。 5. 5.通过键盘输入字母,然后显示相应的图形。 要求:输入 L,之后再输入两个点的坐标值,显示一段直线; 输入 R,再输入两个点的坐标值,显示一个矩形框。 6. 6.在屏幕上接收一串带数字、字母及其它字符的字符串,然后统计其中数字、字母 的个数,并显示出来。 7. 7.编写一个汇编程序,将键盘输入的小写字母用大写显示出来; 若输入的是非字符,显示 NON CHAR。 8. 8.编程序实现十进制数转换成十六进制数。 从键盘输入一个十进制数,转换成十六进制数,显示出来。 要求:需要检测输入一个规范的十进制数。 9. 9.编程序实现十六进制数转换成十进制数。 从键盘输入一个十六进制数,转换成十进制数,显示出来。 要求:需要检测输入一个规范的十六进制数。 10. 10. 简易信息检索系统。在数据区定义 msg0-msg9 共十条信息, 然后从键盘接收 0-9 的数字,显示相应的信息。 11. 11.人名排序程序。 从键盘接收十个人名,人名由四个字母构成。 按字母上升次序显示所输入的人名,每一个人名占一行。 12. 12.在显示屏中央开一个窗口显示自已的名字(以拼音显示) 。 要求:窗口的大小(行列的象素数,可由用户输入两个数字调整) 13. 13.编程显示学校校徽图形。 14. 14.用字符组成汽车图形,在屏幕显示从左向右开动的汽车。 交通灯控制系统(在 TDN86/88 实验系统上实现) 在 A 道和 B 道的十字路口,A、B 道各有两组交通指示灯,每组有红、黄绿三个灯。 A 道的同色灯连在一起,B 道的同色灯连在一起。对各组的交通灯进行控制,以保证 车辆在各道上通畅运行。 A、B 组的交通灯工作过程为: A 初始状态为 A、B 道都是红灯亮。当控制系统启动后,A 道的绿灯亮,B 道的红灯 亮。 B 当延时 25 秒后,A、B 道的黄灯同时变亮,且延时 5 秒。 C 延时后,B 道转为绿灯,A 道转为红灯,且延时 25 秒。 25 秒后,转为 A、B 道的黄灯亮,延时 5 秒后,回到第一步,以次重复进行,不断 循环。 E 当遇到道路障通,或紧急情况时,A、B 道全为红灯。 用七段数码块显示器显示绿灯延时时间。 用相应的发光二极管来代替交通灯,用发光二极管的亮灭显示交通灯的工作情况。 系统有启动按钮和停止按钮,按启动按钮后,系统从第一步开始循环,按停止按钮 后,无论在那一步,应回到初始状态。 画出详细的硬件连接图。附控制程序。 15. 15.要求 A、B 道各增加一个紧急按钮功能,当该按钮按下时,A、B 道的绿灯亮 25 秒。 16. 16.在 1 的基础上将时间改变一下: A、B 组的交通灯工作过程为: A 初始状态为 A、B 道都是红灯亮。当控制系统启动后,A 道的绿灯亮,B 道的红灯 亮。 B 当延时 120 秒后,A、B 道的黄灯同时变亮,且延时 10 秒。 C 延时后,B 道转为绿灯,A 道转为红灯,且延时 60 秒。 60 秒后,转为 A、B 道的黄灯亮,延时 5 秒后,回到第一步,以次重复进行,不断 循环。 E 当遇到道路障通,或紧急情况时,A、B 道全为红灯。 17. 17. 通过串口实现双机通信(在实验系统 TDN86/88 上实现) 在发送机一方通过实验箱的小键盘输入一串字母,并在接收一方显示所接收到的字 母。 在实验系统 TDN86/88 上实现。 (要求至少传送 8 个不同的字母) 画出详细的硬件连接图。附控制程序。 18. 18.通过串口实现双机通信(在实验系统 TDN86/88 上实现) 在发送机一方通过实验箱的小键盘输入一串数字,并在接收一方显示所接收到的数 字。 在实验系统 TDN86/88 上实现。 (要求至少传送 8 个不同的数字) 画出详细的硬件连接图。附控制程序。 19. 19.通过串口实现单机自发自收功能(在实验系统 TDN86/88 上实现) 通过实验箱的小键盘输入一串数字或字母, 并在 CRT 显示器上显示所接收到的内容。 在实验系统 TDN86/88 上实现。 (要求至少传送 26 个不同的字母和 8 个不同的数字) 画出详细的硬件连接图。附控制程序。 20. 20. 波形发生器(在实验系统 TDN86/88 上实现) 波形发生器是一种常用的信号源, 广泛应用于电子电路、 自动控制系统和教学实验 等领域。目前使用的波形发生器大部分是用分立元件组成,体积大,可靠性差,准 确度低。要求用 8086CPU 及相关外围芯片构成的波形发生器,它可产生方波、三角 波、梯形波、锯齿波、正弦波和脉冲信号等多种波形,波形的周期可由程序改变, 并可根据需要选择单极型输出或双极型输出。 要求:生成方波信号。方波信号的占空比通过 TDN 实验箱所带的 7 个开关可以有 7 种不同的情况。采用一个开关控制方波信号的正负性。 21. 21. 波形发生器(在实验系统 TDN86/88 上实现) 要求:生成三角波信号。三角波信号的幅值与其宽度之间的比值通过 TDN 实验箱所 带的 7 个开关可以有 7 种不同的情况,采用一个开关控制三角波的正负性。 22. 22.波形发生器(在实验系统 TDN86/88 上实现) 要求:生成锯齿波信号。锯齿波信号的幅值与其宽度之间的比值通过 TDN 实验箱所 带的 8 个开关可以有 8 种不同的情况。 23. 23.抢答器控制模拟程序设计 任务:用汇编语言模拟设计一抢答器工作的程序。抢答开始后,显示各抢答输入的 时间,并将最快抢答标识为红色,且闪烁。 基本要求: 具有 8 个抢答输入(由 8 个开关代替,其它按键不起作用) ; 显示抢答剩余时间(初始 10 秒) ; 显示抢答成功者(显示数字) ; 抢答成功后,有声音提示; 时间分辨率小于 10ms; 设计报告:写出主要设计思路,工作原理;画出硬件接线图;调试出现的问题及解 决方法;提交程序清单。 24. 24. 10 的基础上增加一个抢答开始控制开关; 在 当抢答成功后, 即播放一小段乐曲, 以示祝贺(抢答输入由计算机键盘输入) 。 25. 25.步进电机控制 (在实验系统 TDN86/88 上实现) 步进电机可以对旋转角度和转动速度进行高精度控制。作为控制执行部件,它广泛 应用于自动控制和精密机械等领域。熟悉步进电机的控制方式、驱动方式,完成步 进电机的微机控制,设计硬件电路,编制控制程序。 要求:双向四拍控制,通过一个开关实现正反向选择,另采用三个开关实现速度选 择,显示出当前的转速等级,画出详细的硬件连接图,附控制程序。 26. 26.步进电机控制 (在实验系统 TDN86/88 上实现) 步进电机可以对旋转角度和转动速度进行高精度控制。作为控制执行部件,它广泛 应用于自动控制和精密机械等领域。熟悉步进电机的控制方式、驱动方式,完成步 进电机的微机控制,设计硬件电路,编制控制程序。 要求:双向八拍控制,通过过一个按键实现正反向选择,另采用三个按键实现速度 选择。画出详细的硬件连接图,附控制程序。 微机原理课程设计题目 一、字符类 27. 27、计算字符长度 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 输出字符串 string 的长度; 点击 Enter 程序退出; 28. 28、字符串大写字母转换为小写 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 将字符串 string 中的大写字母转换为小写字母输出; 点击 Enter 程序退出; 29. 29、字符串小写字母转换为大写 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 将字符串 string 中的小写字母转换为大写字母输出; 点击 Enter 程序退出; 30. 30、计算字符串中某个字符出现次数 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 提示输入字符; 输入任意字符 ch,点击 Enter 结束输入 输出字符串 string 中字符 ch 的出现次数 点击 Enter 程序退出; 31. 31、去除字符串中的某个字符 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 提示输入字符; 输入任意字符 ch,点击 Enter 结束输入 将字符串中字符 ch 去除,输出变化后的字符串 string2 点击 Enter 程序退出; 32. 32、从字符串中截取指定长度的字符 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 提示输入数字; 输入任意数字 int,点击 Enter 结束输入; 截取 string 的前 int 位输出; 点击 Enter 程序退出; 33. 33、将字符串补齐为某个特定长度 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 提示输入数字; 输入任意数字 int,点击 Enter 结束输入; 提示输入字符; 输入任意字符 ch,点击 Enter 结束输入 如果字符串 string 长度大于 int, 则截取 string 的前 int 位输出; 如果字符串 string 长度小于 int,则在 string 后面添加字符 ch,直至使字符串长度等于 int;如果字 符串 string 长度等于 int,则直接输出字符串。 点击 Enter 程序退出; 34. 34、字符排序 提示输入字符串; 输入任意字符串 string,点击 Enter 结束输入; 按照从 A 到 Z 的顺序对字符串重新排序,并输出排序后的字符串; 点击 Enter 程序退出; 35. 35、字符游戏 1 随机显示字符 ch,等待用户输入 如果输入字符与 ch 一致,则随机显示下一个字符 ch2;否则显示“输入错误” ; 如此循环; 输入 Enter 结束 点击 Enter 程序退出; 36. 36、字符游戏 2 用“*”绘制一个七层等腰三角形; 点击空格键则三角形倒置一次,再次点击则再次倒置; 点击 Enter 程序退出; 37. 37、在 DAT 单元存放一字节数据 X,按照下列形式将字节 X 中二进制位进行交换: A7A6A5A4A3A2A1A0 –》A5A4A7A6A1A0A3A2 。 要求:读出 DAT 中存放的字节数据为 X; 换行显示转换后的字节数据; 38. 38、查找字符串中给定字符首次出现的位置。 要求:字符串给定; 从键盘输入单个字符,Enter 键结束并显示该字符所处的位置。 39. 39、编密码。 按一下规律编码:字母 A 变为 E,a 变为 e,即变成其后的第 4 个字母,W 变为 A,Y 变为 C,Z变为D,非字母不变。如输入“China”变为“Glmre” 。 要求:提示输入一字符串; 键盘输入,Enter 键结束输入,并换行显示结果。 40. 从键盘输入一行字符,要求计算出该字符串的长度。 要求:提示输入一行字符串; 键盘输入字符串,Enter 键结束输入,并换行显示计算结果。 41. 字符串反序排列。 要求:提示输入一行字符串; 键盘输入字符串,Enter 键结束输入,并换行显示结果。 42. 比较两个输入的字符串是否完全相同,是则显示 YES,否则显示 NO。 要求:提示输入字符串 1; 输入字符串 1,Enter 键结束输入并换行; 提示输入字符串 2; 输入字符串 2,Enter 键结束输入并换行; 显示判断结果; 43. 数据段里有一个 N 个字的数组 A,利用冒泡排序法对数组进行从大到小的排序,并 输出结果。 要求:读出数据段中存放的数组 A; 换行显示转换后的数组 A; 44. 用循环程序,在屏幕上显示一 ASCII 字符表。 (从 20H—FFH) 45. 从键盘输入一行字符,统计字母、空格、数字、其他字符的个数,并显示。 要求:提示输入一行字符串; 键盘输入字符串,Enter 键结束输入,并换行显示结果。 46. 模拟幸运抽号 要求:程序运行时,在屏幕上随即跳动一组一组十位数字的号码,敲空格键时停止, 得到一组幸运号码。 47. 设计一个程序, 让计算机屏幕输出 40 个自然数来, 使得其中任意两个数之差均不相 等。 48. 查表:将键盘输入的数字月份查表后显示出相应英文字母的缩写形式。 要求:提示输入一月份数字 N; 键盘输入,Enter 键结束输入,并换行显示查表结果。 (字符类共 22 个) 二、数学计算类 49. 数值比较 提示输入数字 1; 输入任意数字 int1,点击 Enter 结束输入; 提示输入数字 2; 输入任意数字 int2,点击 Enter 结束输入 如果 int1 大于 int2,则输出“int1& int2” ; 如果 int1 等于 int2,则输出“int1=int2” ; 如果 int1 小于 int2,则输出“int1& int2” ; 点击 Enter 程序退出; 50. 数值求和 提示输入数字 输入任意数字 int,点击 Enter 结束输入 输出“1+2+3+…+int”的值; 点击 Enter 程序退出; 51. 整数除法 提示输入被除数; 输入被除数 int1,点击 Enter 结束输入; 提示输入除数; 输入除数 int2,点击 Enter 结束输入; 输出商和余数; 点击 Enter 程序退出; 52. 二进制转换 提示输入数字; 输入任意数字 int1,点击 Enter 结束输入 输出 int1 的二进制代码; 点击 Enter 程序退出; 53. 质数判断 提示输入数字; 输入任意数字 int1,点击 Enter 结束输入; 如果 int1 是质数,则输出“int1 is aprime number” 如果 int1 不是质数,则输出“int1 is not aprime number” ; 点击 Enter 程序退出; 54. 闰年判断 提示输入年份; 输入四位数字 int1,点击 Enter 结束输入; 如果 int1 表示的年份是闰年,则输出“int1 years is a leap year” 如果 int1 表示的年份不是闰年,则输出“int1 years is not a leap year” ; 点击 Enter 程序退出; 55. 有一函数 x (x&1) y= 2x-1 (1≤x&10) 3x-11 (x≥10) 编写程序,实现输入 x 值,输出 y 值。 56. 把 100-200 之间不能被 3 整除的数输出; 要求:提示数据范围为 100-200; Enter 键换行显示结果。 57. 用循环程序,显示乘法表。要求输入 0-10 之间的数值 a,显示到 a 值的乘法表。 要求:提示输入一整数; 键盘输入,Enter 键结束输入,并换行显示判断结果。 58. 输入 a,b,c 三边后,判断是否能构成三角形,如能构成三角形,输出三角形的周 长,否则输出“ERROR” 。 要求:提示输入三角形三边长度 a b c; 键盘输入,中间空格隔开; Enter 键结束输入,并换行显示判断结果。 59. 用键盘输入 4 位 16(0~FFFF)进制数,将他们转换为十进制显示出来。 要求:提示输入一 16 进制数; 键盘输入,Enter 键结束输入,并换行显示判断结果。 60. 要求用户从键盘输入一个不超过 5 位的整数,计算并输出该数的各位之和。 要求:提示输入一十进制数; 键盘输入,Enter 键结束输入,并换行显示结果。 61. 计算并打印杨辉三角形,要求打印到第 N 行,N 由键盘输入。 要求:提示输入一整数 N; 键盘输入,Enter 键结束输入,并换行显示结果。 62. 给出一个百分制成绩,要求输出成绩等级 A、B、C、D、E。90 分以上为 A,80-89 分为 B,70-79 分为 C,60-69 分为 D,60 分一下为 E。 要求:提示输入一分数 score; 键盘输入,Enter 键结束输入,并换行显示结果。 63. 在此输入 10 个学生的成绩按后,依次在界面上显示:及格人数 x 个,不及格人数 y 个。 要求:提示输入 10 学生成绩 score,成绩之间空格隔开,键盘送入; 换行输出“10 学生成绩分别为……” 换行显示结果:及格人数 x 个,不及格人数 y; 64. 求 N! 要求:提示输入一整数; 键盘输入,Enter 键结束输入,并换行显示结果。 65. 求 2 个正整数 N1 和 N2 的最小公倍数。 要求:提示输入两十进制正整数; 键盘输入,两整数之间空格隔开,Enter 键结束输入,并换行显示结 果。 66. 求 2 个正整数 N1 和 N2 的最大公约数。 要求:提示输入两十进制正整数; 键盘输入,两整数之间空格隔开,Enter 键结束输入,并换行显示结 果。 67. 数据段里有一个 N 个字的数组 A,利用冒泡排序法对数组进行从大到小的排序,并 输出结果。 要求:读出数据段中存放的数组 A; 换行显示转换后的数组 A; 68. 如果一个数从左边和从右边读都是相同的数,就称它为回文数,例如 383,求出 500 以内的回文数并输出显示。 要求:提示数据范围为 0-500; Enter 键,换行显示结果。 69. 从键盘输入一个正整数,计算其平方根并输出。 要求:提示输入一整数; 键盘输入,Enter 键结束输入,并换行显示结果。 70. 屏幕输出 10 到 200 之间的孪生素数对。孪生素数对指两值相差 2 的一对素数。如 11 与 13; 71. 屏幕输出 1000 以内同时满足如下条件的数: 要求:个位数与十位数之和除以 10 所得的余数等于百位数字; 72. 计算并打印杨辉三角,要求打印到第 N 行,N 由键盘输入。 要求:提示输入一整数; 键盘输入,Enter 键结束输入,并换行显示结果。 73. 由键盘输入两个整数 val 和 val2,输出稍大于 val 且是 val2 整数倍的数。 要求:提示输入两十进制正整数; 键盘输入,两整数之间空格隔开,Enter 键结束输入,并换行显示结 果。 74. 温度转换: 将用户输入的华氏温度转换为摄氏温度。 要求:提示输入一整数; 键盘输入,Enter 键结束输入,并换行显示结果。 75. 打印输出所有水仙花数。水仙花数:三位数,各个位数的立方和为数字本身。 要求:提示“Enter 键输出所有水仙花”; Enter 键,换行显示结果。 76. 一个数如果恰好等于它的因子之和,这个数就成为“完数“。例如 6 的因子为 1,2, 3,且 6=1+2+3,因此 6 为完数。编程找出 10000 以内所有完数并输出。 要求:提示“Enter 键输出 10000 以内所有完数”; Enter 键,换行显示结果。 77. 求具有 abcd=(ab+cd)2 性质的 4 位数并输出。例如 3025=(30+25)2。 要求:Enter 键,输出所有结果。 78. 求出两个数的平方差,若是负数,要输出负号。 要求:由键盘输入两整数 a 、b,中间空格隔开; Enter 键结束输入,并换行显示结果。 79. 从键盘输入一个以 Enter 结束的十进制数字串(不超过 20 个) ,按 ASCII 码值的降 序显示这个数字串 ASCII 码值最大和最小的两个数字。 (计算类 31 个) 三、图形输出类 80. 编写一用箭头键控制光标移动的程序。 要求:箭头控制移动,ALT+箭头控制移动并画线。 81. 显示输出一白色矩形,背景为黑色。 要求:提示白色矩形输出实例; Enter 键显示结果,ESC 键退出程序。 82. 用“*”画菱形框。 要求: “*”为红色,菱形框画在屏幕中间。 83. 用“*”显示出自己名字中的一个字。 要求: “*”为白色,字体显示在屏幕中间。 84. 显示输出一圆形。 要求:圆形边线为白色,圆形区域为蓝色,居中显示,大小不限。 85. 显示输出一五角星图形。 要求:图形边线为红色,背景颜色自定,居中显示,大小适中。 86. 在屏幕上显示一个表格,表格边框,背景等颜色自定。 要求:表格不同于以上题目的图形,且在屏幕中间显示。 87. 利用小键盘的按键实现步进电机的启动,停止,换向,1X 速,2X 速,点动等几种运 行情况。 88. 8 个 LED 灯循环闪烁。 要求:首先是 1,3,5,7 号 LED 灯依次亮 1 秒钟,当第 7 号 LED 亮后,这四个灯同时 闪烁 5 下; 然后是,2,4,6,8 号依次亮 1 秒钟,当第 8 号 LED 亮后,这四个灯同时闪 烁 5 下。 89. 利用 TDN 所带小键盘,实现 A-H 八个字母的输入扫描程序,利用一个开关模拟回车 键,让所输入的字母显示在 CRT 显示器上。 90. 利用 TDN 所带小键盘,实现 0-8 八个数字的输入扫描程序,利用一个开关模拟回车 键,让所输入的数字显示在 CRT 显示器上。 91. 利用 TDN 所带小键盘,加上一个开关作为功能选项键,实现 A-H 八个大写母,以及 a-h 八个小写字母的输入扫描程序,利用一个开关模拟回车键,让所输入的字母显 示在 CRT 显示器上。 92. 在 TDN 实验箱上实现简易计算器 要求:从小键盘输入十进制数,进行十进制四则运算,具有整数的加、减功能;结 果显示到 CRT 显示器。 93. 在 TDN 实验箱上实现简易计算器 要求:从小键盘输入十进制数,进行十进制四则运算,具有整数的乘、除运算功能; 结果显示到 CRT 显示器。 94. 利用 TDN86/88 实验系统实现秒表功能。 要求:具有累计计时的功能;具有启动、停止开关。 95. 在实验系统 TDN86/88 上实现密码锁功能。 设计内容及要求 密码锁在输入密码正确的条件下输出开锁电平, 控制电控锁开启, 同时显示 O 字样。 当输入密码错误时,发出错误警告声音,同时显示 C 字样。当六次误码输入的条件 下,产生报警信号。还可以实现对密码的修改,修改成功后,蜂鸣器发出确认音。 根据实验系统的配置,可以通过使步进电机转动 180 度来模拟开锁和关锁动作; 用 LED 显示器显示电子锁的当前状态; 画出详细的硬件连接图; 给出程序设计思路、画出软件流程图; 给出所有程序清单并加上必要注释; 完成设计说明书(列出参考文献) 。 96. 借助实验系统 TDN86/88 实现模拟量采样。 将实验箱所带电位器的电压转换成 0~5V 数据,显示在数码块上。 97. 借助实验系统 TDN86/88 实现 2 路模拟量定时巡回采样。 将所得数据分别显示在数码块上。 98. 借助实验系统 TDN86/88 实现电热箱控制。 电热箱使用电热丝加热(本课题中电热丝的工作状态由一个 LED 灯的亮与否来模 拟) ,温度范围为 0~90℃,炉内温度值经热电偶检测后,经变送器变成 0~5V 范围内 的电压信号送 A/D 转换器转换成对应的数字量。数字量经数字滤波后送入 CPU 作为 本次采样值。把测量到的温度值与设定值进行比较来决定是否启动电热丝加热,若 低于 30℃则启动电热丝加热,若高于 80℃则停止加热以达到控制温度的目的。 99. 采用 TDN 实验箱设计多个中断子程序。 不按下任何开关时,数码块显示块显示 OOOO; 当开关 1 闭合时,数码块显示块显示 INT0; 当开关 2 闭合时,数码块显示块显示 INT1; 当开关 3 闭合时,数码块显示块显示 INT2; 当开关 4 闭合时,数码块显示块显示 INT3; 选题规则: 1、3、5、7 班学号尾号=题号 2、4、6、8 班学号+50= 题号。
若举报审核通过,可奖励20下载分
被举报人:
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:
VIP下载&&免积分60元/年(1200次)
您可能还需要
Q.为什么我点的下载下不了,但积分却被扣了
A. 由于下载人数众多,下载服务器做了并发的限制。若发现下载不了,请稍后再试,多次下载是不会重复扣分的。
Q.我的积分不多了,如何获取积分?
A. 获得积分,详细见。
完成任务获取积分。
论坛可用分兑换下载积分。
第一次绑定手机,将获得5个C币,C币可。
关注并绑定CSDNID,送10个下载分
下载资源意味着您已经同意遵守以下协议
资源的所有权益归上传用户所有
未经权益所有人同意,不得将资源中的内容挪作商业或盈利用途
CSDN下载频道仅提供交流平台,并不能对任何下载资源负责
下载资源中如有侵权或不适当内容,
本站不保证本站提供的资源的准确性,安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
课程资源下载排行
您当前C币:0&&&可兑换 0 下载积分
兑换下载分:&
消耗C币:0&
立即兑换&&
兑换成功你当前的下载分为 。前去下载资源
你下载资源过于频繁,请输入验证码
如何快速获得积分?
你已经下载过该资源,再次下载不需要扣除积分
2012年微机原理课程设计题目
所需积分:1
剩余积分:0
扫描微信二维码精彩活动、课程更新抢先知
VIP会员,免积分下载
会员到期时间:日
剩余下载次数:1000
2012年微机原理课程设计题目
剩余次数:&&&&有效期截止到:
你还不是VIP会员VIP会员享免积分 . 专属通道极速下载
VIP下载次数已满VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员
你的VIP会员已过期VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员}

我要回帖

更多关于 数码分段开关故障 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信