我用ADG201做模拟开关,求助酷派大神f2,我用fpga给in1口一个3、3伏,周期为10us的脉冲,大

我需要做一个基于FPGA的俄罗斯方块设计,需要FPGA的程序,用的液晶显示屏为12864希望各位大神帮帮忙啊。_百度知道
我需要做一个基于FPGA的俄罗斯方块设计,需要FPGA的程序,用的液晶显示屏为12864希望各位大神帮帮忙啊。
我用VGA显示要要要给邮箱 ENTITY RUSSIA ISPORT(CLK :IN STD_LOGIC;
-------钟频率选用低频
RESET :IN STD_LOGIC;
-------复位键
LEFT :IN STD_LOGIC;
-------左移键
RIGHT :IN STD_LOGIC;
-------右移键
SCORES :OUT INTEGER RANGE 0 TO 15;
STA0 : OUT STD_LOGIC_VECTOR(0 TO 3) ;
-------四行状态寄存
STA1 : OUT STD_LOGIC_VECTOR(0 TO 3) ;
STA2 : OUT STD_LOGIC_VECTOR(0 TO 3) ;
STA3 : OUT STD_LOGIC_VECTOR(0 TO 3) );END RUSSIA;ARCHITECTURE
MOVING OF RUSSIA ISSIGNAL
STATU0 : STD_LOGIC_VECTOR(0 TO 3) ;SIGNAL
STATU1 : STD_LOGIC_VECTOR(0 TO 3) ;SIGNAL
STATU2 : STD_LOGIC_VECTOR(0 TO 3) ;SIGNAL
STATU3 : STD_LOGIC_VECTOR(0 TO 3) ;SIGNAL
FLAG : STD_LOGIC;
-------game over 标志SIGNAL
:INTEGER RANGE 0 TO 499;
-------1000频SIGNAL
CLK1 :STD_LOGIC;SIGNAL
SCOR_TEMP :INTEGER RANGE 0 TO 15;
:STD_LOGIC;
-------消行标志SIGNAL
ROW,COL:INTEGER RANGE 0 TO 3;
-------点坐标控制BEGIN
--------p1用频(字数限制处省略)--------P2:PROCESS(CLK1,RESET)
IF RESET='1' THEN
--------系统初始化(略)
ELSIF(CLK1'EVENT AND CLK1='1')THEN
--------处(game over 进入空循环、消行、加、判断四列否列全1则FLAG&='1'、消行)程序-----------
ELSIF RIGHT='1' AND COL&3 THEN
--------右移判断(面代码错)
ROW ISWHEN
STATU0(COL+1)='0' THEN COL&=COL+1;STATU0(COL+1)&='1';STATU0(COL)&='0';
END IF ;WHEN
STATU1(COL+1)='0' THEN COL&=COL+1;STATU1(COL+1)&='1';STATU1(COL)&='0';
END IF ;WHEN
STATU2(COL+1)='0' THEN COL&=COL+1;STATU2(COL+1)&='1';STATU2(COL)&='0';
END IF ;WHEN
OTHERS=&IF STATU3(COL+1)='0' THEN COL&=COL+1;STATU3(COL+1)&='1'; STATU3(COL)&='0';
ELSIF LEFT='1' AND COL&0 THEN ---------左移判断
ROW ISWHEN
STATU0(COL-1)='0' THEN COL&=COL-1;STATU0(COL-1)&='1';STATU0(COL)&='0'; END IF ;WHEN
STATU1(COL-1)='0' THEN COL&=COL-1;STATU1(COL-1)&='1';STATU1(COL)&='0';
END IF ;WHEN
STATU2(COL-1)='0' THEN COL&=COL-1;STATU2(COL-1)&='1';STATU2(COL)&='0';
END IF ;WHEN
OTHERS=&IF STATU3(COL-1)='0' THEN COL&=COL-1;STATU3(COL-1)&='1'; STATU3(COL)&='0';
--------elsif语句点移处理END IF;END PROCESS; SCORES&=SCOR_TEMP; STA0&=STATU0; STA1&=STATU1; STA2&=STATU2; STA3&=STATU3;END;
其他类似问题
为您推荐:
液晶显示屏的相关知识
其他1条回答
请问用vga显示游戏找能发我份激尽啊
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁我刚学欧姆龙PLC,求一个会伺服定位指令的的师傅教我, 求加Q 8 2 5 0 8 1 0 3 8 &br/&现在有一个问题A280.03脉冲输出完后接通,接通时间是多长的还是要复位的 &br/&PLS2 的控制数据又是这么选的 #0 #1 D0 D6 请问#1这个数怎么选&br/&求大神 还有各种问题
我刚学欧姆龙PLC,求一个会伺服定位指令的的师傅教我, 求加Q 8 2 5 0 8 1 0 3 8 现在有一个问题A280.03脉冲输出完后接通,接通时间是多长的还是要复位的 PLS2 的控制数据又是这么选的 #0 #1 D0 D6 请问#1这个数怎么选求大神 还有各种问题
不区分大小写匿名
这说的是什么??不怎么懂
就是讲A280.03是脉冲输出完成信号,那么在脉冲输出完成后A280.03闭合,他会闭合多久
相关知识等待您来回答
编程领域专家
& &SOGOU - 京ICP证050897号}

我要回帖

更多关于 天照大神 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信