D401A一般用于什么纯电阻电路是什么

型号索引:
您现在的位置:>>EPM7128SLC84
暂无外观图
你是不是要找:
建议:请优先选择带或的供应商,采购更放心。指该供应商接受小批量订单。
EPM7128SLC84-10&
IC,COMPLEX-EEPLD,128-CELL,10NS PROP DELAY,LDCC,84PIN,PLASTIC&
EPM7128SLC84-10N&
IC, MAX ISP PLD, 7128, PLCC84, 3.3V; CPLD Type:EEPROM; No. of Macrocells:128; No. of I/O's:68; Series:MAX 7000; Propagation Delay:10 Global Clock Setup Time:7 Frequency:100MHz; Supply Voltage Range:4.75V to 5.25V; Operating Temperature Range:0°C to +70°C; Logic Case Style:LCC; No. of Pins:84; SVHC:No SVHC (15-Dec-2010); Operating Temperature Max:70°C; Operating Temperature Min:0°C; Package / Case:PLCC; Base Number:7128; IC Generic Number:7128; IC Temperature Range:C Logic Function Number:7128; Logic IC Base Number:7128; Logic IC Function:Programmable ISP; No. of Inputs:100; Sup... &
EPM7128SLC84-15 &
MAX 7000 Programmable Logic Device(1.13 M) &
EPM7128SLC84-15&
EPM7128SLC84-15&
MAX 7000 Programmable Logic Device(1.13 M)
EPM7128SLC84-15N&
EPM7128SLC84-6F&
IC MAX 7000 CPLD 128 84-PLCC &
EPM7128SLC84-6N&
MACROCELLS, PLCC84; CPLD Type:EEPROM; No. of Macrocells:128; No. of I/O's:100; Series:MAX 7000; Propagation Delay:6 Global Clock Setup Time:3.4 Frequency:200MHz; Supply Voltage Range:4.75V to 5.25V; Operating Temperature Range:0°C to +70°C; Logic Case Style:PLCC; No. of Pins:84; SVHC:No SVHC (15-Dec-2010); Package / Case:PLCC; Base Number:7128; Logic IC Base Number:EPM7128S; Logic IC Function:CPLD; No. of Gates:2500; Supply Voltage Max:5.25V; Supply Voltage Min:4.75V; Termination Type:SMD; I/O Interface Standard:TTL, CMOS; Programmable Logic Type:PLD &
&& 供高灵活、有效的解决方案。 AT89S52具有以下标准功能:8 K字节FLASH,256字节RAM,32位I/O口线,看门狗定时器,2个数据指针,3个16位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52可降至0 HZ静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。 1.3 EPM7128SLC84的特点 EPM7128SLC84是ALTERA公司开发的CPLD器件,属于MAX 7000S系列。在高集成度PLD器件中,MAX7000S系列是速度最快的类型之一,它内部为第二代MAX(MULTIPLEARRAY MATRIX)结构。除了集成度高的优点外,器件内部单元(CELL)之间的连接采用连续的金属线,这种互连结构为单元之间提供了固定的、短时延的信号通道,从而消除了内部延时的难以预测性,并有效地提高了芯片资源的利用效率。 EPM7128SLC84是基于EEPROM的可编程CMOS []
&& 单片机产生的脉冲信号源由于是靠软件实现的,所以输出频率及步进受单片机时钟频率、指令数和指令执行周期的限制。文中介绍了一种以CPLD为核心的脉冲信号源,脉冲信号源的参数(频率、占空比)由工控机通过I/O板卡设置,设定的参数由数码管显示,这种脉冲信号源与其它脉冲信号发生电路相比具有输出频率高、步进小(通过选用高速CPLD可提高频率及缩小步进)、精度高、参数调节方便、易于修改等优点。 1 系统组成及工作原理 脉冲信号源电路核心采用一片可编程逻辑器件EPM7128SLC84―10,它属于AHERA公司MAX7000系列产品,MAX7000系列产品是高密度、高性能的CMOS EPLD,是工业界速度最快的可编程逻辑器件系列,它是在AHERA公司的第二代MAX结构基础上采用先进的CMOS EEPROM技术制造的。MAX7000系列产品包括MAX7000E、MAX7000S、MAX7000A,集成度为600~5 000可用门,有32~256个宏单元和36―155个用户I/0引脚。这些基于EEPROM的器件能够组合传输延迟快至5.0 NS,16位频率为178 MHZ。此外,它们的输入寄存器的建立时间非常短,能够 []
&& 单元把原稿的影像转变成数字量的形式进行图像处理,再送给后面的显影部分,最后在稿纸上把原稿复印出来。本文对数码复印机的READ SECTION(读入单元)进行研究,主要包括曝光部分、CCD成像部分、A/D变换部分、图像处理部分和图像传输部分。系统信号传送过程见图1(信号传送示意图)。 1 系统组成 数码复印机扫描成像单元主要有以下几部分组成: A) 采用高速的线阵图像传感器TCD1707D,并选用了TB62801F作为TCD1707D的时钟驱动; B) 采用高集成度的EPM7128SLC84产生系统所需的驱动和控制时序逻辑; C) 采用内部采样保持的8位高速并行输出A/D芯片(TLC5510); D) 采用SANKEN公司的高性能SLA7026M作为两相步进电机的驱动; E) 图像处理板主要由TI公司的高性价比DSP芯片TMS32C5402和CPLD(复杂可编程逻辑器件)组成,完成整个系统的时钟驱动协调和对数据进行简单的处理; F) 图像处理板和计算机之间采用USB2.0进行数据通信,以满足高速CCD数据的传输。 2 系统硬件电路设计 2.1 T []
&& 相交替导通,这样可提高分辨率。每一步可转0.9°控制电机正转的励磁顺序如下表: 若要求电机反转,将励磁信号倒过来传送即可。 2 步进电机控制方案 控制系统的框图如下: 本方案采用AT89S51作为主控制器件。它与AT89C51兼容,同时还增加了SPI接口和看门狗模块,这不但使程序调试变得方便而且也使程序运行更加稳定。在方案中该单片机主要实现现场信号的采集并计算出步进电机运转的方向和速度信息。然后传送给CPLD。 CPLD采用EPM7128SLC84-15,EPM7128是可编程的大规模逻辑器件,为ALTERA公司的MAX7000系列产品。具有高阻抗、电可擦等特点,可用单元为2500个,工作电压为+5V。CPLD接收到单片机发送过来的信息后,转换成对应的控制信号输出给步进电机驱动器。驱动器则把控制信号处理后输入电机绕组,实现了电机的有效控制。 2.1 电机驱动器硬件结构 电机的驱动器采用如下电路: 其中R1-R8的电阻值为320Ω。R9-R12的电阻值为2.2KΩ。Q1-Q4为达林顿管D401A,Q5-Q8为S8550。 []
&& 端口门中多余的输入端子的互联,RGDS正常工作时被置为高电平;RST为系统复位信号,Q1为计数器输出作为TDC系统的高13位输出,为粗计数部分,Q0为对RGDS编码输出,作为该TDC系统的低3位输出,为细计数部分,此处还应考虑计数器延时和RGDS编码输出延时之间的关系,应尽量使其同步,避免编码错位。 为了解决所设计TDC的硬件测试,专门设计了一个信号控制电路,该电路的TDC可以测量信号发生器所发生的连续脉冲的脉宽,从脉宽的测量可以估算出分辨率。限于条件,硬件测量采用目标芯片MAX7000S系列的EPM7128SLC84-15,电压为5V。图4是输入脉宽和测得的计数值关系曲线,共测量了85个值。横坐标是SP1641B型函数信号发生器发生的信号周期(NS),其对应的频率范围为1.4-3.2MHZ,纵坐标是计数值。从图中曲线可以看出两者的线性关系,采用EPM7128SLC84-15的仿真结果,可以得到分辨率为9.8NS和TDC。经测量,在85个测试数据中,测量系统可以分辨出4.14-4.49NS的脉宽差,说明硬件的实际分辨率高于仿真结果,图5是图4中信号周期从160-210NS时的放大图,可以看出计数值无跳码,只有 []
&& 信号处理电路 智能时栅位移传感器内部基于CPLD的数字信号处理电路。电路采用双MCU+CPLD结构设计,内部嵌入主从式两块单片机,副MCU负责数据采集与预处理工作,主MCU通过接口电路与外界实现数据交换。动、定测头感应到的微弱信号 经放大、自动增益控制、滤波和整形等预处理电路后,得到供数字电路处理的方波信号。两路方波信号送入CPLD进行处理,CPLD包括双路比相器、脉冲当量计量电路、计数电路、总线收发三态控制电路等4个功能模块。 CPLD芯片选用ALTERA公司的MAX7000S系列器件EPM7128SLC84,它有128个逻辑宏单元,逻辑门数为2500门,在MAX+PLUSII软件环境下,采用VHDL和原理图输入相结合的方法进行设计。设计输入完成后,进行整体的编译和逻辑仿真,然后进行转换、布局、布线、延时仿真生成配置文件,最后以4引脚的JTAG接口方式通过BYTEBLASTER下载电缆对CPLD进行在线编程(ISP),完成结构功能配置,实现其硬件功能,制成专用芯片。为了避免器件内的设计被他人复制和取出,可通过对MAX7128S内部的一个保密位编程,对设计进行加密。当对器件重新编程时,保密位连同其它的 []
&& REG_Q(1)AND REG_Q(0)=‘0’ THEN REG_Q<=REG_Q+1;END IF;ELSE REG_Q<=REG_Q;END IF; END IF;Q<=REG_Q;END PROCESS; 这里,第一个进程的作用是得到CCD输出电压的峰值,DATA为A/D转换的结果。第二个进程的作用是判断峰值是否在阈值范围内,以调整输出Q,去控制多选一模块选择相应的时钟脉冲来作为DRIVER的输入。 将设计好的各个模块应用原理图进行连接,然后进行器件选择,本设计选CPLD芯片为EPM7128SLC84-15,然后编译,再进行仿真,即可所得,如图4所示的时序图。 观察该时序图中可以看到,如CPLD的工作与最初的设计意图相符,即可将程序下载到EPM7128SLC-15芯片中。 实验结果 进行电路的原理图设计,制成电路板,与CCD传感器连接。将光束打在一反射物体上,反射光为CCD传感器所接受,然后调节光照强度,利用示波器观察SH,可以看到SH的频率随光强的增大而增大。 结束语 本文所设计的带的CCD驱动电路,可集成于一片CPLD芯片中,较过去的由几十片芯片组 []
&& 使用“EPM7128"做两个16位加,减计数器可以吗?我用VHDL设计语言设计了两个用于光电编码器加,减计数的16位计数器,和一个八位四选一多路选择器。选择的芯片是“EPM7128SLC84”,编译后,编译器告诉我“工程需要许多(330/128)逻辑单元”。我用“EPM7256“却能通过编译,波形仿真也能通过。难道“EPM7128SLC84”作不了以上工作,我是新手,请各位高手给与指教!急盼!谢谢! []
&& 做了个196的项目,出现了怪异的问题!3个月了,找不到根本原因.基本配置:80C196KB//74HC573译码和部分逻辑处理用CPLD,型号为ALTERA EPM7128SLC84问题出在了译码上,地址FF00-FF0F用于读取CPLD内部74244,但数据不能正确读取,有时能把地址当作数据给读回来,例如:读取FF05地址,会读到05H,奇怪啊奇怪,(已确认244上数据正常)难道是外部数据总线有问题,PCB设计问题? []
&& 如果我用EPM7128SLC84可以综合吗? []
&& EPM7128SLC84已经是PLCC封装中规模最大的了!EPM7512却只有TQFP封装的! []
&& 请教EPM7128SLC84的封装是多少?在网上找了很久 没有具体的尺寸资料。担心99SE的库里面的封装有问题!请教 谢谢! 邮箱: * - 本贴最后修改时间: 10:26:35 修改者:SOENI []
相关搜索:IC型号索引: &B&&&&F&&&&J&&&&N&&&&R&&&&V&&&&Z&&&&3&&&&7&&
在采购D401A进货过程中,您使用搜索有什么问题和建议?
免责声明:以上所展示的D401A信息由会员自行提供,D401A内容的真实性、准确性和合法性由发布会员负责。捷配网不承担任何责任。
友情提醒:为规避购买D401A产品风险,建议您在购买D401A相关产品前务必确认供应商资质及产品质量。推荐使用"DZSC委托交易服务",买卖都安全。发布: | 作者:-- | 来源: -- | 查看:64次 | 用户关注:
【用 途】&&&& 低频大功率管
【性能 参数】
硅 NPN 30V/25V 1A 1.5W β=120~180
【互换 兼容】
【用 途】&&&& 低频大功率管
【性能 参数】
硅 NPN 30V/25V 1A 1.5W β=120~180
【互换 兼容】
本页面信息由华强电子网用户提供,如果涉嫌侵权,请与我们客服联系,我们核实后将及时处理。
SPI(Serial Peripheral Interrace,串行外设接口)是摩托罗拉您的位置:
用单片机和CPLD实现步进电机的控制
浏览次数:0
文字大小:【大】【中】【小】
  步进电机是一种将脉冲信号转换成角位移的伺服执行器件。其特点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累,这给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。   通常的步进电机控制方法是采用CPU(PC机、单片机等)配合专用的步进电机驱动控制器来实现,这存在成本较高、各个环节搭配不便(不同类的电机必须要相应的驱动控制器与之配对)等问题。   CPLD器件具有速度快、功耗低、保密性好、程序设计灵活、抗干扰能力强、与外围电路接口方便等特点,越来越多的应用于各种工控、测量、仪器仪表等方面。同时单片机非常适合应用于需要复杂的控制算法的场合。因此本设计采用的方法是:用单片机采集现场信号后计算出步进电机运转所需的控制信息后,再传给CPLD,CPLD把接收到的信息转换成步进电机实际的控制信号(运转方向、运转速度)输出给电机的驱动电路。这样的好处是单片机与CPLD各行其是。单片机可以专注于处理输入信号与输出信息之间的转换等复杂的算法.不必占用过多的CPU资源去直接控制电机,也减小了由此引入干扰的可能性;CPLD只需把单片机传送过来的信息转换成电机的控制信号。这样就发挥了单片机和CPLD两者的优点。   1 步进电机原理简介   通常电机的转子为永磁体,当电流流过定子绕组时,定子绕组产生一矢量磁场。该磁场会带动转子旋转一角度,使得转子的一对磁场方向与定子的磁场方向一致。当定子的矢量磁场旋转一个角度。转子也随着该磁场转一个角度。每输入一个电脉冲,电动机转动一个角度前进一步。它输出的角位移与输入的脉冲数成正比、转速与脉冲频率成正比。改变绕组通电的顺序,电机就会反转。所以可用控制脉冲数量、频率及电动机各相绕组的通电顺序来控制步进电机的转动。   我们使用的单极四相步进电机为例。其结构如图1:   四个绕组引出四相(相A1相A2相B1相B2)和两个公共线(接到电源的正机)。把绕组的某一相接到电源的地线。这样该绕组就会受到激励。我们采用四相八拍的控制方式,即1相与2相交替导通,这样可提高分辨率。每一步可转0.9°控制电机正转的励磁顺序如下表:   若要求电机反转,将励磁信号倒过来传送即可。   2 步进电机控制方案   控制系统的框图如下  本方案采用AT89S51作为主控制器件。它与AT89C51兼容,同时还增加了SPI接口和看门狗模块,这不但使程序调试变得方便而且也使程序运行更加稳定。在方案中该单片机主要实现现场信号的采集并计算出步进电机运转的方向和速度信息。然后传送给CPLD。   CPLD采用EPM7128SLC84-15,EPM7128是可编程的大规模逻辑器件,为ALTERA公司的MAX7000系列产品。具有高阻抗、电可擦等特点,可用单元为2500个,工作电压为+5V。CPLD接收到单片机发送过来的信息后,转换成对应的控制信号输出给步进电机驱动器。驱动器则把控制信号处理后输入电机绕组,实现了电机的有效控制。   2.1 电机驱动器硬件结构   电机的驱动器采用如下电路:   其中R1-R8的电阻值为320Ω。R9-R12的电阻值为2.2KΩ。Q1-Q4为达林顿管D401A,Q5-Q8为S8550。J1、J2与步进电机的六条引线相连   2.2 CPLD硬件电路的设计   使用CPLD器件使电路的设计变得十分简洁。我们只需要把CPLD的I/O脚引出来, 接上相应的外围器件就可以了。CPLD与专用数字芯片(如74SC164等)的一个重要区别是其I/O 口的功能可任意在软件上设定,这样在硬件设计中便可只用考虑电源线与地线的分布。以减小高频电流噪声对数据传输的影响。   在设计CPLD电路时,电源、时钟以及I/O与目标芯片都可通过接插件进行连接。最后在管脚锁定的时候把CPLD的I/O分别与单片机和电机电路部分相连就可以了,这样使电路的安装调试变得更加简便。   2.3 控制的实现   由于篇幅的限制。在此只讨论单片机与CPLD逻辑接口部分以及CPLD中控制信号的产生部分。   首先说明单片机和CPLD逻辑接口的问题。AT89S51与EPM7128SLC84的I/O电压都为5V。所以它们的I/O可以直接连接。无需增加额外的电路。如果使用的是I/O电压为3.3V的可编程逻辑器件,则需要考虑逻辑接口这个问题。   同时通过时序分析. 我们可以知道该系统中EPM7128SLC84的输入信号建立时间Ts=8ns。也就是说输入CPLD的信号必须持续8ns以上才能够被CPLD识别。单片机如采用12MHZ的晶振,则信号的改变时间为微秒级,完全满足这个条件。
  当单片机根据实际情况计算出控制信息(电机的速度和方向)后就要联络CPLD以便及时的把信息传给它。单片机和CPLD交换数据可以选用并行传送或者串行传送的方式。考虑到单片机和CPLD的引脚都比较丰富。而且并行传送的接口相对简单,因此选用并行的方式交换数据。规定传送数据的协议如下图所示:   由图可知,单片机每次用P0口发送3个字节的数据(N1、N2分别为速度和方向控制字,N3为和校验字节),当CPLD检测到EN从高到低的跳变表示传进数据开始。每个字节的有效数据出现在CLK的上升沿。ACK为CPLD的应答信号。当CPLD接收完数据后进行和校验.如果不对则把ACK拉高。单片机若检测到ACK为高电平则重新开始送数的过程。CPLD接收到正确的数据后就把它转换成步进电机的物理运动。直到接收到新的控制信息。我们用VHDL语言编程,并选择EPM7128SLC84-15作为目标器件进行时序仿真和硬件测试。程序的框图如下:   EN:系统使能信号。   CPLD_CLK:系统时钟信号。   N1:速度控制信号。   N2:方向控制信号。   系统时钟CPLD_CLK在速度控制信号N1的控制下得到脉冲分配器(状态机)的输入时钟,此时钟的频率决定控制逻辑输出的频率从而(在允许的范围内)控制电机的转速。EN为高电平时系统使能开始正常运转。N1为01H代表电机正转,00H代表电机反转。   程序的脉冲分配器部分采用状态机的方法编写。状态机是纯硬件数字系统中的顺序控制电路,在状态机的运行方式上类似于控制灵活方便的CPU,而在运行速度和工作可靠性方面都优于CPU。   状态机部分的VHDL代码如下:   PROCESS(C_ST,EN,N1,BCLK)   BEGIN   IF RISING_EDGE(BCLK) THEN C_ST&=N_ST;   IF EN="1" THEN   CASE C_ST IS   WHEN ST0=& IF N1= THEN   N_ST&=ST1; ELSE N_ST&=ST7;END IF;   CON_OUT&=1000;   WHEN ST1=& IF N1= THEN   N_ST&=ST2; ELSE N_ST&=ST0;END IF;   CON_OUT&=1100;   WHEN ST2=& IF N1= THEN   N_ST&=ST3;ELSE N_ST&=ST1;END IF;   CON_OUT&=0100;   WHEN ST3=& IF N1= THEN   N_ST&=ST4;ELSE N_ST&=ST2;END IF;   CON_OUT&;0110;   WHEN ST4=& IF N1= THEN   N_ST&=ST5;ELSE N_ST&=ST3;END IF;   CON_OUT&=0010;   WHEN ST5=& IF N1= THEN   N_ST&=ST6; ELSE N_ST&=ST4;END IF;   CON_OUT&=0011;   WHEN ST6=& IF N1= THEN   N_ST&=ST7;ELSE N_ST&=ST5;END IF;   CON_OUT&=0001;   WHEN ST7=& IF N1= THEN   N_ST&=ST0; ELSE N_ST&=ST6;END IF;   CON_OUT&=1001;   WHEN OTHERS=& N_ST&=ST0;   CON_OUT&=0000;   END CASE;   END IF;   END IF;   END PROGESS;   2.4 结论   实践表明.这样的控制方法切实可行。在整个工作过程中,单片机运行稳定.电机能够根据情况完成正常的正转反转,加速减速。   3 结语   单片机是一种非常传统的智能控制器件,无论是智能家电还是消费类产品都有它的身影。CPLD器件在各种场合的应用也越来越广泛。两者有各自的优缺点。单片机控制功能很强,能完成复杂的数学运算.但是稳定性稍差。CPLD运行速度快。程序不会跑飞。适合产生各种复杂组合逻辑和时序逻辑。可以灵活的定义各个引脚的与外围电路连接的电气特性等。使用单片机和CPLD联合控制步进电机只是不同类型的器件协同完成同一任务在实际应用中的一个例子。实践证明这种方法是可取的。
- 服务热线400-600-2336
Copyright&&Jcmicon&Enterprises&China Co.,Ltd.&ISO
All&rights&reserved.
&精诚电子商城网 版权所有 .
访问本站最佳分辨率用单片机和CPLD实现步进电机的控制_器件_中国百科网
用单片机和CPLD实现步进电机的控制
       步进电机是一种将脉冲信号转换成角位移的伺服执行器件。其特点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累,这给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。   通常的步进电机控制方法是采用CPU(PC机、单片机等)配合专用的步进电机驱动控制器来实现,这存在成本较高、各个环节搭配不便(不同类的电机必须要相应的驱动控制器与之配对)等问题。   CPLD器件具有速度快、功耗低、保密性好、程序设计灵活、抗干扰能力强、与外围电路接口方便等特点,越来越多的应用于各种工控、测量、仪器仪表等方面。同时单片机非常适合应用于需要复杂的控制算法的场合。因此本设计采用的方法是:用单片机采集现场信号后计算出步进电机运转所需的控制信息后,再传给CPLD,CPLD把接收到的信息转换成步进电机实际的控制信号(运转方向、运转速度)输出给电机的驱动电路。这样的好处是单片机与CPLD各行其是。单片机可以专注于处理输入信号与输出信息之间的转换等复杂的算法.不必占用过多的CPU资源去直接控制电机,也减小了由此引入干扰的可能性;CPLD只需把单片机传送过来的信息转换成电机的控制信号。这样就发挥了单片机和CPLD两者的优点。   1 步进电机原理简介   通常电机的转子为永磁体,当电流流过定子绕组时,定子绕组产生一矢量磁场。该磁场会带动转子旋转一角度,使得转子的一对磁场方向与定子的磁场方向一致。当定子的矢量磁场旋转一个角度。转子也随着该磁场转一个角度。每输入一个电脉冲,电动机转动一个角度前进一步。它输出的角位移与输入的脉冲数成正比、转速与脉冲频率成正比。改变绕组通电的顺序,电机就会反转。所以可用控制脉冲数量、频率及电动机各相绕组的通电顺序来控制步进电机的转动。   我们使用的单极四相步进电机为例。其结构如图1:   四个绕组引出四相(相A1相A2相B1相B2)和两个公共线(接到电源的正机)。把绕组的某一相接到电源的地线。这样该绕组就会受到激励。我们采用四相八拍的控制方式,即1相与2相交替导通,这样可提高分辨率。每一步可转0.9°控制电机正转的励磁顺序如下表:   若要求电机反转,将励磁信号倒过来传送即可。   2 步进电机控制方案   控制系统的框图如下  本方案采用AT89S51作为主控制器件。它与AT89C51兼容,同时还增加了SPI接口和看门狗模块,这不但使程序调试变得方便而且也使程序运行更加稳定。在方案中该单片机主要实现现场信号的采集并计算出步进电机运转的方向和速度信息。然后传送给CPLD。   CPLD采用EPM7128SLC84-15,EPM7128是可编程的大规模逻辑器件,为ALTERA公司的MAX7000系列产品。具有高阻抗、电可擦等特点,可用单元为2500个,工作电压为+5V。CPLD接收到单片机发送过来的信息后,转换成对应的控制信号输出给步进电机驱动器。驱动器则把控制信号处理后输入电机绕组,实现了电机的有效控制。   2.1 电机驱动器硬件结构   电机的驱动器采用如下电路:   其中R1-R8的电阻值为320Ω。R9-R12的电阻值为2.2KΩ。Q1-Q4为达林顿管D401A,Q5-Q8为S8550。J1、J2与步进电机的六条引线相连   2.2 CPLD硬件电路的设计   使用CPLD器件使电路的设计变得十分简洁。我们只需要把CPLD的I/O脚引出来, 接上相应的外围器件就可以了。CPLD与专用数字芯片(如74SC164等)的一个重要区别是其I/O 口的功能可任意在软件上设定,这样在硬件设计中便可只用考虑电源线与地线的分布。以减小高频电流噪声对数据传输的影响。   在设计CPLD电路时,电源、时钟以及I/O与目标芯片都可通过接插件进行连接。最后在管脚锁定的时候把CPLD的I/O分别与单片机和电机电路部分相连就可以了,这样使电路的安装调试变得更加简便。   2.3 控制的实现   由于篇幅的限制。在此只讨论单片机与CPLD逻辑接口部分以及CPLD中控制信号的产生部分。   首先说明单片机和CPLD逻辑接口的问题。AT89S51与EPM7128SLC84的I/O电压都为5V。所以它们的I/O可以直接连接。无需增加额外的电路。如果使用的是I/O电压为3.3V的可编程逻辑器件,则需要考虑逻辑接口这个问题。   同时通过时序分析. 我们可以知道该系统中EPM7128SLC84的输入信号建立时间Ts=8ns。也就是说输入CPLD的信号必须持续8ns以上才能够被CPLD识别。单片机如采用12MHZ的晶振,则信号的改变时间为微秒级,完全满足这个条件。   当单片机根据实际情况计算出控制信息(电机的速度和方向)后就要联络CPLD以便及时的把信息传给它。单片机和CPLD交换数据可以选用并行传送或者串行传送的方式。考虑到单片机和CPLD的引脚都比较丰富。而且并行传送的接口相对简单,因此选用并行的方式交换数据。规定传送数据的协议如下图所示:   由图可知,单片机每次用P0口发送3个字节的数据(N1、N2分别为速度和方向控制字,N3为和校验字节),当CPLD检测到EN从高到低的跳变表示传进数据开始。每个字节的有效数据出现在CLK的上升沿。ACK为CPLD的应答信号。当CPLD接收完数据后进行和校验.如果不对则把ACK拉高。单片机若检测到ACK为高电平则重新开始送数的过程。CPLD接收到正确的数据后就把它转换成步进电机的物理运动。直到接收到新的控制信息。我们用VHDL语言编程,并选择EPM7128SLC84-15作为目标器件进行时序仿真和硬件测试。程序的框图如下:   EN:系统使能信号。   CPLD_CLK:系统时钟信号。   N1:速度控制信号。   N2:方向控制信号。   系统时钟CPLD_CLK在速度控制信号N1的控制下得到脉冲分配器(状态机)的输入时钟,此时钟的频率决定控制逻辑输出的频率从而(在允许的范围内)控制电机的转速。EN为高电平时系统使能开始正常运转。N1为01H代表电机正转,00H代表电机反转。   程序的脉冲分配器部分采用状态机的方法编写。状态机是纯硬件数字系统中的顺序控制电路,在状态机的运行方式上类似于控制灵活方便的CPU,而在运行速度和工作可靠性方面都优于CPU。   状态机部分的VHDL代码如下:   PROCESS(C_ST,EN,N1,BCLK)   BEGIN   IF RISING_EDGE(BCLK) THEN C_ST&=N_ST;   IF EN="1" THEN   CASE C_ST IS   WHEN ST0=& IF N1= THEN   N_ST&=ST1; ELSE N_ST&=ST7;END IF;   CON_OUT&=1000;   WHEN ST1=& IF N1= THEN   N_ST&=ST2; ELSE N_ST&=ST0;END IF;   CON_OUT&=1100;   WHEN ST2=& IF N1= THEN   N_ST&=ST3;ELSE N_ST&=ST1;END IF;   CON_OUT&=0100;   WHEN ST3=& IF N1= THEN   N_ST&=ST4;ELSE N_ST&=ST2;END IF;   CON_OUT&;0110;   WHEN ST4=& IF N1= THEN   N_ST&=ST5;ELSE N_ST&=ST3;END IF;   CON_OUT&=0010;   WHEN ST5=& IF N1= THEN   N_ST&=ST6; ELSE N_ST&=ST4;END IF;   CON_OUT&=0011;   WHEN ST6=& IF N1= THEN   N_ST&=ST7;ELSE N_ST&=ST5;END IF;   CON_OUT&=0001;   WHEN ST7=& IF N1= THEN   N_ST&=ST0; ELSE N_ST&=ST6;END IF;   CON_OUT&=1001;   WHEN OTHERS=& N_ST&=ST0;   CON_OUT&=0000;   END CASE;   END IF;   END IF;   END PROGESS;   2.4 结论   实践表明.这样的控制方法切实可行。在整个工作过程中,单片机运行稳定.电机能够根据情况完成正常的正转反转,加速减速。   3 结语   单片机是一种非常传统的智能控制器件,无论是智能家电还是消费类产品都有它的身影。CPLD器件在各种场合的应用也越来越广泛。两者有各自的优缺点。单片机控制功能很强,能完成复杂的数学运算.但是稳定性稍差。CPLD运行速度快。程序不会跑飞。适合产生各种复杂组合逻辑和时序逻辑。可以灵活的定义各个引脚的与外围电路连接的电气特性等。使用单片机和CPLD联合控制步进电机只是不同类型的器件协同完成同一任务在实际应用中的一个例子。实践证明这种方法是可取的。
Copyright by ;All rights reserved.}

我要回帖

更多关于 电路回路是什么意思 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信